CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - CIC

搜索资源列表

  1. ddc1

    0下载:
  2. 数字上变频,包括DDC,CIC,补偿FIR,FIR。-Digital down conversion VHDL procedures, FIR, CIC filter
  3. 所属分类:Other windows programs

    • 发布日期:2017-06-01
    • 文件大小:13514347
    • 提供者:黄千偌
  1. CIC3R32

    0下载:
  2. 书籍《数字信号处理的FPGA实现》中关于CIC的源代码,个人收藏的,希望对大家有用-Books FPGA digital signal processing on the CIC s source code, personal collection, I hope useful
  3. 所属分类:source in ebook

    • 发布日期:2017-04-12
    • 文件大小:896
    • 提供者:李沁
  1. CIC_interpolator_wer1

    0下载:
  2. CIC interpolation filter which DOESNT WORK-CIC interpolation filter which DOESNT WORK!!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:859
    • 提供者:chujec
  1. cic3_decimator

    0下载:
  2. 积分梳状滤波器(CIC)设计在FPGA上的应用-Application of integral comb filter (CIC) for FPGA
  3. 所属分类:LabView

    • 发布日期:2017-04-12
    • 文件大小:918
    • 提供者:高浚玮
  1. cic3

    0下载:
  2. 三阶CIC滤波器,函数形式,注释简单易懂,可以直接运行使用-Third-order CIC filter
  3. 所属分类:matlab

    • 发布日期:2017-04-12
    • 文件大小:916
    • 提供者:
  1. DDC_design

    0下载:
  2. matlab下实现DDC的设计,包括CIC,HB,Fir的设计。-Realization matlab DDC design,including CIC,HB,Fir filter.
  3. 所属分类:matlab

    • 发布日期:2017-04-15
    • 文件大小:4724
    • 提供者:
  1. msp_irq_cic

    0下载:
  2. This file define the irq handler for MSP CIC subsystem interrupts.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-13
    • 文件大小:1995
    • 提供者:rzhvsz
  1. ddc

    1下载:
  2. 接收机中ddc的实现,包含CIC、半带和FIR滤波-DDC implementation in the receiver, including CIC, half band and FIR filter
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:2721
    • 提供者:李青华
  1. cic_pipelined

    0下载:
  2. matlab实现CIC滤波器,包括积分滤波器和梳状滤波器-Matlab implementation of the CIC filter, including integral filter and comb filter
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1152
    • 提供者:李青华
  1. sdm_filter_matlab

    1下载:
  2. sigma delta adc 滤波器matlab-sigma delta adc filter including cic cic_comp hb
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:799
    • 提供者:wanxiongxiong
  1. cic_core

    0下载:
  2. CIC CORE is very good core for your projects.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:89265
    • 提供者:afshin shoeibi
  1. hcic_filter2-(2)

    0下载:
  2. CIC interpolator decimator MATLAB code
  3. 所属分类:Speech/Voice recognition/combine

    • 发布日期:2017-04-13
    • 文件大小:1856
    • 提供者:Gaurav
  1. 3-ddc-cic_5hb_firmatlab-testbench)

    0下载:
  2. 三通道上下变频cic_5hb_firmatlab仿真程序-Three-channel down conversion cic hb fir matlab simulation program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:9770
    • 提供者:wq
  1. CIC-Filter

    0下载:
  2. 抽取滤波器的matlab源代码实现预仿真,修改参数即可使用-Decimation filter matlab source code to achieve the pre-simulation can be used to modify the parameters
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-12
    • 文件大小:580
    • 提供者:董伟忠
  1. 7-16

    0下载:
  2. CIC滤波器的VERILOG HDL语言实现,通过QUARTUSII软件编译通过,仿真结果是正确的
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7386
    • 提供者:张侨
  1. cic_40Mhz

    0下载:
  2. 40MHz的CIC滤波器的FPGA设计,内容很完备-CIC filter of FPGA design in 40MHz,content is complete
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6683
    • 提供者:程联开
  1. Lab4

    0下载:
  2. 该实验室会议的目的是要实现一个可配置的FM-AM数字调制器的数据通路。它是由一个CIC内插滤波器及可配置的FM-AM块。调制器信号以48kHz被取样,并且由CIC内插滤波器的装置内插高达96MHz的。在FM-AM配置块适用于96 MHz的时钟-The aim of this laboratory session is to implement the data-path of a configurable FM-AM digital modulator. It is composed of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4365299
    • 提供者:张珂
  1. CIC_verilog

    0下载:
  2. 采用verilog实现的三级CIC抽取器,输入8位数据,输出26位数据,使用有限状态机用于实现下采样,包括积分器实现模块和梳状器实现模块-Using verilog to achieve three CIC decimation filter, the input 8-bit data output 26-bit data, the use of finite state machines for sampling, including the integrator and comb to im
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1143
    • 提供者:刘建涛
  1. DDC

    1下载:
  2. nco + cic + ddc 数字下变频抽取滤波的基础步骤,代码已通过调试-nco+ cic+ ddc
  3. 所属分类:DSP program

    • 发布日期:2017-04-12
    • 文件大小:1063
    • 提供者:darren
  1. CIC_filter

    0下载:
  2. CIC filter improved. For communication engineers , this is very important to learn and apply for practical system realization. We have done some changes in previous available codes and enhanced the performance.-CIC filter improved. For communication
  3. 所属分类:Console

    • 发布日期:2017-04-12
    • 文件大小:713
    • 提供者:waqar
« 1 2 ... 9 10 11 12 13 1415 »
搜珍网 www.dssz.com