CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - EDA

搜索资源列表

  1. 课题:计数式数字频率的CPLD实现.rar

    1下载:
  2. 本设计的基本要求是以复杂可编程逻辑器件CPLD为基础,通过在EDA系统软件ispDesignExpert System 环境下进行数字系统设计,熟练掌握该环境下的功能仿真,时间仿真,管脚锁定和芯片下载。 本系统基本上比较全面的模拟了计数式数字频率计,广泛应用于工业、民用等各个领域,具有一定的开发价值。
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:439843
    • 提供者:
  1. 组成原理课程设计--微程序控制器的设计完整报告

    0下载:
  2. 首先利用实验系统COP2000具有完全开放的特性,由学生自行设计控制器微指令格式及定义,重新设计指令系统,要求该指令系统能够实现数据传送,进行加、减运算和无条件转移,具有累加器寻址、寄存器寻址、寄存器间接寻址、存储器直接寻址、立即数寻址等五种寻址方式。 其次了解EDA扩展板功能,自学并掌握相关EDA技术,以实现EDA控制。-the first to use experimental system with a completely open COP2000 characteristics, de
  3. 所属分类:教育/学校应用

    • 发布日期:2008-10-13
    • 文件大小:327953
    • 提供者:晨风
  1. protel99中文教程

    0下载:
  2. 这是一本学习vhdl语言以及EDA的好书,适合初学者,我强力推荐-This is a learning and EDA VHDL language books, suitable for beginners, I strongly recommend
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:348518
    • 提供者:序列
  1. Verilog HDL练习题

    0下载:
  2. 硬件描述语言,对学习EDA的人,特别是初学者都有很大的参考价值。-hardware descr iption language, to learn the EDA people, especially beginners have great reference value.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:79067
    • 提供者:陈盛
  1. 基于CPLD-FPGA的半整数分频器的设计

    0下载:
  2. 基于CPLD-FPGA的半整数分频器的设计,用于设计EDA-based CPLD-half FPGA integer dividers in the design, design for EDA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:21359
    • 提供者:胡路听
  1. 同步复位与异步复位问题

    0下载:
  2. 同步复位与异步复位问题,应用于EDA设置,适合初学者-asynchronous and synchronous reset reduction, EDA application settings for beginners
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:243221
    • 提供者:胡路听
  1. 数字频率合成器的FPGA实现

    0下载:
  2. 在EDA中,基于数字频率合成器的FPGA实现-in EDA, based Digital Frequency Synthesizer FPGA
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:28706
    • 提供者:胡路听
  1. eda1

    0下载:
  2. eda的编程,实现示例一个压缩 解压程序的源代码-sown programming examples to achieve a compression decompression program's source code
  3. 所属分类:串口编程

    • 发布日期:2008-10-13
    • 文件大小:2573
    • 提供者:李欣
  1. eda2

    0下载:
  2. eda的一个示例程序,一个压缩 解压程序的源代码-Seeds of a sample program, a compression decompression program's source code
  3. 所属分类:GIS编程

    • 发布日期:2008-10-13
    • 文件大小:2557
    • 提供者:李欣
  1. jp

    0下载:
  2. led灯按顺序显示,EDA课程实验,verilog语言(EDA experiment with Verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:2048
    • 提供者:miaomiaojiang
  1. y210

    0下载:
  2. 三八译码器,四位加法器,EDA实验,用verilog编写(EDA experiment with verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:1024
    • 提供者:miaomiaojiang
  1. clock1

    0下载:
  2. 时钟显示程序,EDA实验,用verilog语言编写(EDA experiment with verilog language)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:1024
    • 提供者:miaomiaojiang
  1. eda-reversing-4

    0下载:
  2. Even better than eda-reversing
  3. 所属分类:其他

    • 发布日期:2018-01-01
    • 文件大小:3072
    • 提供者:iSWORD14
  1. eda-reversing-5

    0下载:
  2. Even better than eda-reversing 2
  3. 所属分类:其他

    • 发布日期:2018-01-01
    • 文件大小:3072
    • 提供者:iSWORD14
  1. 4位全加器 计数器等程序

    0下载:
  2. EDA仿真工具使用的,进行EDA开发的多个程序; 包括:4位全加器,12分频,128分频,篮球计数秒表(部分),计数器; 可以搭配EDA仿真软件使用,也可以搭配开发板使用;(EDA simulation tools used for EDA development of multiple programs; Including: 4 bit full adder, 12 frequency division, 128 frequency division, basketball cou
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:1024
    • 提供者:李云龙777
  1. NEW

    5下载:
  2. Verilog投币式手机充电仪 清华大学数字电子技术基础课程EDA大作业。刚上电数码管全灭,按开始键后,数码管显示全为0。输入一定数额,数码管显示该数额的两倍对应的时间,按确认后开始倒计时。输入数额最多为20。若10秒没有按键,数码管全灭。(Verilog coin operated cell phone charger EDA major homework of digital electronic technology foundation course, Tsinghua Un
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-05-27
    • 文件大小:18432
    • 提供者:jameskk
  1. eda 常用电路设计程序

    0下载:
  2. EDA(电子设计自动化)可以进行电子电路的仿真设计,本文档包涵多个常用的电路的EDA设计程序
  3. 所属分类:编程文档

  1. 分布式估计算法EDA

    1下载:
  2. EDA分布式算法,进化算法 可以和一些智能算法拟合使用(Distributed estimation algorithm)
  3. 所属分类:其他

    • 发布日期:2021-03-27
    • 文件大小:11609088
    • 提供者:Javie
  1. text seven

    1下载:
  2. VGA彩条信号显示器设计 设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera CycloneII系列的 EP2C5T144C8 FPGA。(A VGA color bar signal generator is designed and debugged, and an EDA experimental development system is used (the model of the experimental chip to be use
  3. 所属分类:图形图象

    • 发布日期:2020-06-02
    • 文件大小:772096
    • 提供者:一一11.
  1. EDA-2

    1下载:
  2. 数字电子技术基础课程的第二次EDA作业,内容是投币充电仪。(The second EDA assignment of basic course of digital electronic technology is coin charger.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-12-24
    • 文件大小:28672
    • 提供者:smcgorange
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 50 »
搜珍网 www.dssz.com