CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FIFO

搜索资源列表

  1. change

    0下载:
  2. 模式操作系统的页面置换算法,主要实现FIFO算法,LRU算法等
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:6779
    • 提供者:张元平
  1. Synthesizable_FIFO_verilog

    0下载:
  2. Synthesizable FIFO Model This example describes a synthesizable implementation of a FIFO. The FIFO depth and FIFO width in bits can be modified by simply changing the value of two parameters, `FWIDTH and `FDEPTH. For this example, the FIFO depth is
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:16621
    • 提供者:lianlianmao
  1. fifo

    0下载:
  2. something maybe helpful for hardware programmer
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:1164587
    • 提供者:jiayanli
  1. memory_fromm_hgy

    0下载:
  2. 操作系统常用页面置换算法模拟实验 FIFO,LRU
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:1471
    • 提供者:asdf
  1. afifo

    0下载:
  2. 异步fifo的verilog程序,含有测试平台
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2819
    • 提供者:dq
  1. generic_fifos.tar

    0下载:
  2. Generic FIFO, writen in verilog hdl
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12782
    • 提供者:marco
  1. fifo_generator_ug175

    0下载:
  2. 该文档是有关利用XINLIX的FPGA如何实现FIFO的生成及如何应用的文章。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:715334
    • 提供者:cobain
  1. async_fifo2_corrected

    0下载:
  2. FIFO的部分verilog代码,其余部分我会陆续上传,
  3. 所属分类:USB编程

    • 发布日期:2008-10-13
    • 文件大小:136854
    • 提供者:常勇
  1. yemianguanli

    0下载:
  2. 设计一个请求页式存储管理方案。并编写模拟程序实现之。产生一个需要访问的指令地址流。它是一系列需要访问的指令的地址。为不失一般性,你可以适当地(用人工指定地方法或用随机数产生器)生成这个序列,使得 50 %的指令是顺序执行的。 25 %的指令均匀地散布在前地址部分, 25 %的地址是均匀地散布在后地址部分。页面替换算法采用 Fifo 页面替换算法,在发生页替换时,不仅需要更改页表,还需将被替换的页回到辅存。
  3. 所属分类:进程与线程

    • 发布日期:2008-10-13
    • 文件大小:11273
    • 提供者:小明
  1. singlebytefinal

    0下载:
  2. 自己编译和注解的2812的SCI的FIFO收发程序,编译已经通过!
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2008-10-13
    • 文件大小:3239
    • 提供者:穆付强
  1. USB_Device_driver

    0下载:
  2. 本文介绍了USB设备Bulk模式驱动程序的设计。该设计使用FIFO消息队列、信号量机制和定时器中断机制,可在不同的操作系统中实现。文中所用到的程序体系结构对于实现不同USB设备进行Bulk模式通讯是通用的。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:14321
    • 提供者:YANWEICHUAN
  1. OSkeshe

    0下载:
  2. 操作系统课社 1.理解内存页面调度的机理。 2.掌握几种理论页面置换算法的实现方法。 3.了解HASH表数据结构的使用。 4.通过课程设计比较各种调度算法的优劣。 5.熟悉页面置换算法及其实现,引入计算机系统性能评价方法的概念。页面置换算法是虚拟存储管理实现的关键,通过本次课程设计理解内存页面调度的机制,在模拟实现FIFO、LRU页面置换算法的基础上,比较两种置换算法的效率及优缺点,从而了解虚拟存储实现的过程。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:62780
    • 提供者:dongguanghuai
  1. mp3blaster-3.1.3.tar.tar

    0下载:
  2. After the single transaction waveforms are implemented in the GPIF Designer, the next step is to integrate the USB portion of the overlying firmware with the GPIF Designer output to perform write and read operations to and from the external FIFO. T
  3. 所属分类:mpeg/mp3

    • 发布日期:2014-01-17
    • 文件大小:273688
    • 提供者:崔卫
  1. FlashProgramTool

    0下载:
  2. After the single transaction waveforms are implemented in the GPIF Designer, the next step is to integrate the USB portion of the overlying firmware with the GPIF Designer output to perform write and read operations to and from the external FIFO. T
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:352655
    • 提供者:崔卫
  1. mp3

    0下载:
  2. The first task at hand is to set up the endpoints appropriately for this example. The following code switches the CPU clock speed to 48 MHz (since at power-on default it is 12 MHz), and sets up EP2 as a Bulk OUT endpoint, 4x buffered of size 512, a
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:46792
    • 提供者:崔卫
  1. main

    1下载:
  2. 操作系统实验(LINUX): 设计一个虚拟存储区和内存工作区,并使用下列算法计算访问命中率. (1) 进先出的算法(FIFO) (2) 最近最少使用的算法(LRU) (3) 最佳淘汰算法(OPT) (4) 最少访问页面算法(LFU) (5) 最近最不经常使用算法(NUR) 命中率=(1-页面失效次数)/页地址流长度
  3. 所属分类:Linux/Unix编程

    • 发布日期:2008-10-13
    • 文件大小:2296
    • 提供者:zengzl
  1. hcq

    0下载:
  2. 能实现基本的三种页面算法,FIFO,OPT,LRU,自己的课程设计,代码较少,有待改进。
  3. 所属分类:系统编程

    • 发布日期:2008-10-13
    • 文件大小:9097
    • 提供者:avaga
  1. include

    0下载:
  2. 可以计算出FIFO算法和FRU算法的时间复杂度,通过二者的比较,可以得到自己想要的结果
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:3032
    • 提供者:woshi
  1. RTL8019

    1下载:
  2. rt8019as网卡芯片的驱动,我自己写的,与网上所能下载到的同类代码最大的区别是,我这个驱动可以使网卡工作在很繁忙的网络环境中,即使cpu使用的是单片机,也不会使网卡fifo溢出而使网卡不能通信
  3. 所属分类:TCP/IP协议栈

    • 发布日期:2008-10-13
    • 文件大小:6668
    • 提供者:肖波
  1. second2

    0下载:
  2. 页面置换之LRU与FIFO-Zhi LRU replacement pages with FIFO
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:1377
    • 提供者:不良少年
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com