CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FIR 系数

搜索资源列表

  1. fir_filter

    0下载:
  2. 常系数的FIR滤波器VHDL设计文件,在MUX+plusII调试通过-regular FIR filter coefficients of VHDL design documents, the debugging through MUX plusII
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2996
    • 提供者:li
  1. freqz_m

    0下载:
  2. 求取系统的绝对幅度响应、相对的db值幅度响应、相位响应和群延时响应的函数 % %db为相对振幅(dB) %mag为绝对振幅 %pha为相位响应 %grd为群延时 %w为频率样本点向量 %b为Ha(z)分子多项式系数(对FIR而言,b=h) %a为Hz(z)分母多项式系数(对FIR而言,a=1) %-strike rate system in response to the absolute and relative value range db respons
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:813
    • 提供者:吴江华
  1. trial4

    0下载:
  2. 求出laguerre滤波器的分子分母系数,绘出其幅频响应图并与FIR滤波器作比较。该程序为19阶Laguerre低通滤波器和22阶带通滤波器-obtained laguerre filter coefficient of molecular denominator, chart its amplitude frequency response plans with the FIR filter for comparison. The procedure for the 19-Laguerre l
  3. 所属分类:Web服务器

    • 发布日期:2008-10-13
    • 文件大小:1312
    • 提供者:吉芳芳
  1. FIR_beh

    0下载:
  2. FIR滤波器的行为级VHDL源代码,可以任意修改滤波器级数,滤波器系数的精度为16比特。-FIR filter behavioral VHDL source code, which could be amended filter series. The filter coefficients for the 16-bit accuracy.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1445
    • 提供者:郭兴波
  1. CCS2.20Fir

    1下载:
  2. ccs中产生正弦和余弦相加的混合,使用fir滤波得到低频的正弦。滤波器系数由matlab计算。有文档-ccs generated sine and cosine mixed together, the use of low-frequency filtering fir Sine. Filter coefficients calculated by Matlab. Documented
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:176647
    • 提供者:王立东
  1. fir_algos

    1下载:
  2. Fir filter的设计,FIR滤波器系数
  3. 所属分类:数据结构常用算法

    • 发布日期:2008-10-13
    • 文件大小:1218
    • 提供者:jedora
  1. 8stepSymmetryCoefficientFilter

    0下载:
  2. 8阶对称系数并行FIR滤波器(verilog)用作数字滤波,系数可调。根据实际截止频率决定。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1146
    • 提供者:TGY
  1. fir_dec3

    1下载:
  2. FIR抽取滤波器,抽取系数3,Verilog版本,数字下变频-FIR decimation filter, extraction coefficient of 3, Verilog version of the digital down-conversion
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1853
    • 提供者:王刚
  1. fir

    0下载:
  2. FIR函数通过定义的系数和延时线来实现有限脉冲响应FIR滤波器。该函数产生了对输入数据的响应。该过滤器(通带,阻带等特点)由系数和抽头数决定。-FIR function by defining the coefficients and delay line to achieve the finite impulse response FIR filter. The function of the input data generated response. The filter (passban
  3. 所属分类:Wavelet

    • 发布日期:2017-04-04
    • 文件大小:3528
    • 提供者:niky
  1. fir

    0下载:
  2. 3. 用VC编程浮点程序实现对语音信号的按帧滤波。 1) 在主程序中读取FIR DF系数文件。 2) 在主程序中按帧读取语音样点文件,每帧180点。 3) 设计浮点滤波子程序,供主程序调用。 4) 保存滤波结果数据到文件中。 5) 用cooledit试听滤波后的语音信号。 -3. VC programming with floating-point program to realize the speech signal by frame filtering. 1) In
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-05-11
    • 文件大小:2813656
    • 提供者:陈永尧
  1. DSP-experiment-report-for-fir-filter

    0下载:
  2. 这里有实验所用到的源代码和实验报告,对同学们非常有用。。。实验报告给大家作为参考 简介: dsp实验报告数据采集与FIR滤波处理——利用TS201-EZ-KIT板的硬件资源,完成对信号的采样和滤波分析。本实验中提供的基本FIR滤波器程序,初始化不同的FIR滤波器的系数来设计出不同的滤波器。通过这个实验加深对数字滤波这种信号处理的方法的理解。-dsp lab report with FIR filtering data collection- using TS201-EZ-KIT boar
  3. 所属分类:DSP program

    • 发布日期:2017-03-27
    • 文件大小:78478
    • 提供者:陈深
  1. C-code-for-FIR

    0下载:
  2. 基于STM32F10的FIR滤波器 参数 : hx[Max] : 系统函数,Max为系统函数的阶数,或是系数的个数,定义为Short型 xn[M] : 输入参数 yn[N] : 输出参数 M,N,Max的关系为 M + Max -1 = N - STM32F10-based FIR filters Parameters: hx [Max]: system functions, Max is the order of the system fu
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2013-05-30
    • 文件大小:879985
    • 提供者:rogeret
  1. fir-filter

    0下载:
  2. 11阶fir数字滤波器的verilog程序设计,线性相位,系数量化处理-11 order of fir digital filter verilog programming, linear phase, the coefficient quantization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:59848
    • 提供者:happy
  1. FIR

    0下载:
  2. 用C语言编写的FIR滤波器 该滤波器输入长度及系数长度 均可自定义大小 适应性广 配有详细注释-realise FIR filter use C , the length of input and FIR cof can be decided by yourself
  3. 所属分类:DSP program

    • 发布日期:2017-11-27
    • 文件大小:226727
    • 提供者:wangyu
  1. 32-order-FIR-on-FPGA

    0下载:
  2. 基于FPGA的32阶FIR滤波器设计,研究了一种采用FPGA实现数字滤波器硬件电路方案;讨论了窗函数的选择、滤波器的结构以及系数量化问题-32 order FIR filter design based on FPGA, an FPGA implementation digital filter hardware circuit program discussed the choice of the window function, the structure of the filter co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:240472
    • 提供者:沧海一粟
  1. FPGA-FIR

    0下载:
  2. 基于Xilinx FPGA实现的系数可装载数字滤波器源代码
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3090412
    • 提供者:楚轩
  1. fir

    0下载:
  2. 利用系数奇对称的性,节约一半乘法器资源,实现平行FIR滤波器的功能。-The function of parallel FIR filter is realized by using oddly symmetric coefficients and saving half of the multiplier resources.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:4817436
    • 提供者:lerning dog
  1. dsp3

    0下载:
  2. 不同的系数量化精度对FIR滤波器性能的影响(The Influence of Quantization Accuracy of Different Coefficients on the Performance of FIR Filters)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:lianhkfwgy
  1. 滤波器实验报告

    1下载:
  2. 设计一个 1MHz 的 FIR 低通滤波器。 要求: ① 时钟信号频率 16MHz; ② 输入信号位宽 8bits,符号速率 16MHz ③ 要求在 Matlab 软件中进行 FIR 滤波器浮点和定点仿真,并确定 FIR 滤波器抽头系数 ④ 写出测试仿真程序。(Design a 1MHz FIR low pass filter. Requirements: (1) clock signal frequency 16MHz; (2) input signal bit width
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-06-10
    • 文件大小:342016
    • 提供者:羊羊驼
  1. E4_6

    1下载:
  2. 设计一个高通最优FIR滤波器,过渡带为1000-1500Hz,采样频率为8000Hz,通带纹波最大为0.001.绘图比较系数12位、14位量化以及无量化时的幅度响应曲线(A high pass FIR filter is designed. The transition band is 1000-1500Hz, the sampling frequency is 8000Hz and the maximum ripple is 0.001. The amplitude response curv
  3. 所属分类:matlab例程

    • 发布日期:2020-04-21
    • 文件大小:1024
    • 提供者:SEXYLADY
« 1 23 4 5 6 »
搜珍网 www.dssz.com