CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA 串口

搜索资源列表

  1. FPGAuartdebug

    0下载:
  2. FPGA串口界面调试程序,用VHDL语言实现-FPGA serial debugger interface, using VHDL language implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:948622
    • 提供者:charity
  1. rec

    0下载:
  2. 利用fpga实现同步串口,经验证无误,供大家参考-Use FPGA to achieve synchronous serial port, experience, certified, for your reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:858
    • 提供者:fangliang
  1. Uartmodule

    0下载:
  2. 实现FPGA与PC机的串口通信功能,实现数据的收发。-FPGA with the realization of PC-serial communication functions to send and receive data.
  3. 所属分类:Com Port

    • 发布日期:2017-03-31
    • 文件大小:40424
    • 提供者:JET
  1. uartverilog

    0下载:
  2. xilinx提供的verilog_uart源码,适合做串口的人学习-Xilinx provided verilog_uart source, suitable for those who study serial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:9755
    • 提供者:伍迪
  1. sdram_hr_hw

    0下载:
  2. 在FPGA硬件上实现计算机通过串口发数据给FPGA,数据保存到SDRAM中,然后又返回给计算机串口。-In FPGA hardware realize computer data through the serial port issued to FPGA, the data saved to SDRAM, and then again back to the computer serial port.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5217188
    • 提供者:huanghui
  1. UART_SUCCESS

    0下载:
  2. 实现FPGA和上位机的串口通信,里面由波特率发生器,移位寄存器,计数器,detecter,switch,switch_bus等功能块综合而成。-FPGA implementation and the host computer' s serial communication, which by the baud rate generator, shift register, counters, detecter, switch, switch_bus such as function bl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1855434
    • 提供者:zhn
  1. fpgaserialread

    0下载:
  2. fpga的串口编程vi控件库,提供了多种vi-FPGA serial programming vi Control Library
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:184012
    • 提供者:谢浩
  1. dianziqin

    0下载:
  2. vb编写的电子琴,仿真实电子琴操作界面,包含与FPGA串口通信的功能。-vb prepared organ, electric piano emulation interface is included with the FPGA serial communication functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:4633
    • 提供者:tianyongfan
  1. de2.1

    0下载:
  2. 这是一个基于FPGA/SOPC设计的简单串口程序,是FPGA硬件和niosII软件编程的结合。对初学者有很大的借鉴意义。在Quartus6.1和niosII6.1环境下编译通过,并且下载到板子上运行成功-This is based on FPGA/SOPC design a simple serial program is FPGA hardware and software combination niosII. Great for beginners reference. In Quartu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7750319
    • 提供者:zj
  1. async_transmitter

    0下载:
  2. 该程序为RS232串口通信的VERILOG程序,在FPGA上已通过验证,在测试范围内误码率为0-The program for the RS232 serial port communications VERILOG procedures, the FPGA has been validated in the test range of bit error rate is 0
  3. 所属分类:Communication

    • 发布日期:2017-03-26
    • 文件大小:996
    • 提供者:su
  1. rs232

    0下载:
  2. 通过FPGA实现串口通信,结果在超级终端可见-Serial communication through the FPGA, the result can be seen in the HyperTerminal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:640806
    • 提供者:chengliu
  1. senduard_50m

    0下载:
  2. 串口发送: 使用串口发送程序接收二进制码(9600波特率) ,用拨码开关控制发送二进制的高四位,按板上的第二个按钮,LED灯会相应的亮起,PC 会收到相应的数据-Serial port to send: Use the serial port to send a program to receive a binary code (9600 baud), with DIP switch control to send binary high-4, according to board the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:194879
    • 提供者:panda
  1. UART

    0下载:
  2. 用FPGA开发的串口通信的程序,代码是用verilog编写的,希望对大家有用!-Serial communication with the FPGA development process, the code is written in verilog and hope for all of us!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:267617
    • 提供者:郭富民
  1. FpgaConfig_CS_20090508

    0下载:
  2. 自己写的一个使用单片机配置FPGA的上位机C#代码,使用串口通讯。-Wrote it myself, using a microcontroller to configure FPGA-Host Computer C# code, the use of serial communication.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:3062156
    • 提供者:胡小平
  1. edaok_UART_FPGA

    0下载:
  2. 用FPGA实现UART的串口通信,可以设置数据位,校验位,奇偶校验等-With the FPGA to achieve UART serial communication, you can set the data bits, parity bit, parity, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4127171
    • 提供者:杨奔
  1. fpga_mcu_communication

    1下载:
  2. 本压缩文件是51单片机与Altera_Cyclone fpga串口通信程序,经过硬件实际测试验证可用。-This compressed file is 51 and Altera_Cyclone fpga serial communication program, available through the actual test hardware.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1707
    • 提供者:肖康
  1. 2440_FPGA

    0下载:
  2. 在三星2440基础上扩展FPGA,实现多串口通讯的FPGA源码。编译、验证完全正确,放心使用,是不可多得的实用资料!-Based on the expansion of the Samsung 2440 FPGA, FPGA implementation of serial communication source. Compile, verify completely correct, ease of use, is a rare practical information!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2594762
    • 提供者:周明
  1. urat232

    0下载:
  2. 串口程序,FPGA实现,可以实现简单的发送和接收-Serial process, FPGA implementation, you can send and receive simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2819
    • 提供者:宋珂
  1. sim_uart

    0下载:
  2. uart 收发器 verilog 代码,实现自收发功能 sys clk = 25m, baud 9600 停止位1, 无校验位; 代码实现了串口自收发功能,及把从 PC 收到的内容都发送会 PC, 其他波特率,自行修改代码即可,在 alter 的FPGA 上调试通过; -verilog code uart transceiver to achieve self-transceiver function sys clk = 25m, baud 9600 1 stop bit, no par
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2434
    • 提供者:周西东
  1. FPGA---Serial

    0下载:
  2. FPGA实现的串口程序,包含顶层调用的代码。-FPGA implementation of the serial program, including top-level calling code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3638
    • 提供者:周华飞
« 1 2 3 45 6 7 8 9 10 ... 34 »
搜珍网 www.dssz.com