CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA 接口

搜索资源列表

  1. c_xapp260

    0下载:
  2. xilinx应用指南xapp260的中文翻译版本。利用 Xilinx FPGA 和存储器接口生成器简化存储器接口。本白皮书讨论各种存储器接口控制器设计所面临的挑战和 Xilinx 的解决方案,同时也说明如何使用 Xilinx软件工具和经过硬件验证的参考设计来为您自己的应用(从低成本的 DDR SDRAM 应用到像 667 Mb/sDDR2 SDRAM 这样的更高性能接口)设计完整的存储器接口解决方案。-The use of Xilinx FPGA and Memory Interface Gen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1123330
    • 提供者:陈阳
  1. FPGA_lizi

    0下载:
  2. FPGA实例,ADC0809,DAC0832接口电路程序,LCD控制VHDL程序与仿真,等实例,验证通过.-FPGA.VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:66189
    • 提供者:suyufeng
  1. uart

    0下载:
  2. 异步串行接口设计 vhdl设计 fpga下载模拟-this is a vhdl programm
  3. 所属分类:File Formats

    • 发布日期:2017-04-02
    • 文件大小:10312
    • 提供者:jack
  1. LED

    0下载:
  2. 本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA 公司的 Cyclone II 系列 FPGA 为数字平台,将微处理器、Avalon 总线、LED 点阵扫描控制器、存储器和人机接口控制器等硬件设备集中在一片 FPGA 上,利用片内硬件来实现 LED 点阵的带地址扫描,降低系统总功耗和简化 CPU 编程的同时,提高了系统的精确度、稳定性和抗干扰性能。-This design used the Nios II embedded processor based o
  3. 所属分类:SCM

    • 发布日期:2017-03-25
    • 文件大小:968520
    • 提供者:叶子
  1. 61EDA_D994

    0下载:
  2. 基于FPGA的 温度传感器 DS18B20接口设计-FPGA DS18B20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3153
    • 提供者:碧雪情空
  1. uart16550_latest[1].tar

    0下载:
  2. 开源UART IP核16550,该IP核兼容16550 UART,具有Modem功能,完全可编程的串行接口具有可设置的字符长度、奇偶校验、停止位以及波特率生成器。-Open-source UART IP core 16550, the IP core is compatible with 16550 UART, with Modem function, fully programmable serial interface can be set up with a character lengt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1559265
    • 提供者:lisa1027
  1. FPGA_AD

    1下载:
  2. 基于 Cyclone EP1C6240C8 FPGA的ADS2807接口程序,主要用来使用FPGA控制ADS2807的采集。 采用FPGA来模拟ADS2807的时序来实现控制功能。 提供采样频率控制、AD通道转换、采样数据缓存等功能。-Cyclone EP1C6240C8 FPGA-based interface program of the ADS2807, ADS2807 is mainly used to control the use of FPGA collection. AD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:246908
    • 提供者:icemoon1987
  1. FPGA_DDS

    2下载:
  2. 基于Cyclone EP1C6240C8 的AD9854 DDS的接口程序,使用FPGA来控制DDS信号的产生,从而达到高频信号产生的目的。 通过FPGA口线模拟AD9854的控制时序。 提供DDS信号波形变换、DDS频率调整、DDS内部比较器使用等功能。-Cyclone EP1C6240C8 of the AD9854 DDS-based interface program, use the FPGA to control the DDS signal generation, so a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-18
    • 文件大小:1826536
    • 提供者:icemoon1987
  1. DM5_VGA_img_C5H

    0下载:
  2. VGA 接口 FPGA实现了,采用显示器显示图片功能-VGA
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:83302
    • 提供者:潘冬冬
  1. FPGA

    0下载:
  2. 基于FPGA的以太网接口数据采集器设计与实现-FPGA-based Ethernet Data Acquisition System Design and Implementation
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:185542
    • 提供者:郑玉
  1. 100503

    0下载:
  2. FPGA有价值的27个编程例子。包括LED控制,LCD控制,ASK调制与解调,DAC0832接口电路程序-27 example about FPGA
  3. 所属分类:Other systems

    • 发布日期:2017-05-06
    • 文件大小:1278533
    • 提供者:allen cen
  1. memtest

    0下载:
  2. 在数字系统中,一般存在多个芯片,利用不同的特点用于实现不同的功能,一般都包含CPU,FPGA,AD,DA,memory,ASSP(专用标准模块),ASIC等。CPU用于进行智能控制,FPGA进行硬件算法处理和多设备接口,AD进行模数转换,DA进行数模转换,memory存储临时数据。因此,FPGA如何与其他芯片进行通讯是重要的设计内容。数据输入,数据输出,双向通讯,指令传递,地址管理,不同时钟的异步通讯问题等等都需要处理。最基本的MEMORY如SRAM(128KX8bbit静态存储器628128)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:223409
    • 提供者:平凡
  1. paper_FPGA

    0下载:
  2. 基于FPGA控制的高速固态存储器设计,对固态存储器进行了需求分析, 根据航天工程对高速固态存储器的需求, 确定了设计方案。 针对航天工程对高速固态存储器速率要求较高的特点, 在逻辑设计方面采用流水线技术、并行总线技术。在器件选择方面, 采用LVDS构成接口电路, FPGA构成控制逻辑电路电路, SDRAM芯片阵列构成存储电路。设计了高速固态存储器。该设计简化了硬件电路, 大大提高了存储数据的速率。-FPGA-based control design of high speed solid s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:261784
    • 提供者:lyh
  1. Mars_EP1C3_S_Core_V2.0

    0下载:
  2. 此包中为Mars_EP1C3_S_Core_V2.0 FPGA学习板中的接口实验代码.共包括10个实验源代码:7段数码管,i2c,KEYSCAN,MCU,PS2,UART,VGA,蜂鸣器,跑马灯和拨码开关. -This learning package for Mars_EP1C3_S_Core_V2.0 FPGA board interface test code. A total of 10 experiments, including source code: 7 segment di
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2184683
    • 提供者:wzh
  1. FTOD_SDRAM10.3.18

    0下载:
  2. FPGA与DSP数据接口转换时序,简单实用的,SDRAM时序读写数据。-FPGA and DSP data interface conversion timing, simple and practical, SDRAM read and write data timing.
  3. 所属分类:Other systems

    • 发布日期:2016-10-14
    • 文件大小:13487089
    • 提供者:郝金
  1. a2

    0下载:
  2. FPGA和单片机串行通信接口的实现 源代码-FPGA and the Serial Communication Interface source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:24327
    • 提供者:刘志杰
  1. Verilog000

    1下载:
  2. FPGA的学习,熟悉QuartusII软件的各种功能,各种逻辑算法设计,接口模块(RS232,LCD,VGA,SPI,I2c等)的设计,时序分析,硬件优化等,自己开始设计简单的FPGA板子。 ③、NiosII的学习,熟悉NiosII的开发流程,熟悉开发软件(SOPC,NiosII IDE),了解NiosII的基本结构,设计NiosII开发板,编写NiosII C语言程序,调试板子各模块功能。-Verilog语言的学习,熟悉Verilog语言的各种语法。 ②、FPGA的学习,熟悉
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:22794382
    • 提供者:onejacky
  1. 8.6DAC0832

    0下载:
  2. FPGA中用VHDL编写的DA8032的接口电路及程序源码-DA8032 prepared using VHDL FPGA interface circuit and program source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:3172
    • 提供者:felix chin
  1. XillinxFor_CKJH

    0下载:
  2. 北京百科融创科技有限公司编写的DSP与FPGA接口通信程序源码-Financial Innovation Technology Co., Ltd. Beijing encyclopedia written DSP and FPGA Interface Communication Source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5658
    • 提供者:zhaojun
  1. CoreSPI

    0下载:
  2. 数字电子设计fpga设计的spi接口的ip_core,可以直接用于在fpga设计,支持actel的fpga芯片,支持主从模式,fifo大小可选。-Fpga design of digital electronic design spi interface ip_core, fpga design can be directly used to support actel the fpga chip, support master-slave mode, fifo size options.
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-02
    • 文件大小:1009383
    • 提供者:zhangyujun
« 1 2 3 4 5 6 78 9 10 11 12 ... 40 »
搜珍网 www.dssz.com