CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA USB

搜索资源列表

  1. fft_ly

    1下载:
  2. 采用MATLAB实现定点的FFT运算,但是仿真硬件结构的IP核调用以及误差产生模式,用于仿真FPGA实现FFT运算的效果和误差来源。-FPGA to realize the company s 68013A paragraph Cypress USB chip used SLAVEFIFO read operation, the fixed-point implementation using MATLAB FFT operation, but the hardware structure of
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:1614
    • 提供者:
  1. YJ_EP4

    0下载:
  2. 与Cpress CY8013所对应的 FPGA端的开发 使用NIOSII NIOSII 连续往USB FIFO 端点里灌数据 上位机不断的接收 陪和我的上位程序可以达到30Mbyte/s 需要上位机程序的去搜索TestUSBSpeedMFCNovember -upload-And Cpress CY8013 corresponding end FPGA development using NIOSII NIOSII continuous irrigation to USB FIFO
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-16
    • 文件大小:25570224
    • 提供者:kn
  1. verilog

    0下载:
  2. 关于USB开发的verilog开发程序,非常的全面,学习FPGA开发时用得着。-About USB development verilog development process, very comprehensive, learning FPGA development time worthwhile.
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:93758
    • 提供者:李阳
  1. QUARTUS_WORK_FORTH

    0下载:
  2. 基于verilog语言的,FPGA程序实现电脑与FPGA串口的数字传输,硬件设备为EP1C3T100C8,usb转RS232芯片为FT232BM,-Based verilog language, FPGA program FPGA serial digital transmission of computer and hardware devices to EP1C3T100C8, usb to RS232 chip FT232BM,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:598044
    • 提供者:FT_Young
  1. VisonFly-D4100-SDK

    1下载:
  2. DLP Discovery 4100 数字微镜(DMD)空间光开关光调制器开发系统 1.全面兼容德州仪器TI DLP D4100 开发系统. 能够支持1920X1080 DMD(DMD微镜为10.6微米,本征分辨率为1920X1080) 数字微镜(DMD)空间光开关光调制器开发系统 2. 1024 X 768 的DMD(4:3)有两种微镜结构,一种是13.68 微米, 对角线长度为0.7 英寸;另一种是10.8 微米的,对角线长度为0.55 英寸;我们系统都能支持
  3. 所属分类:Project Design

    • 发布日期:2017-05-21
    • 文件大小:6450184
    • 提供者:wucow
  1. USB_SLAVE_FPGA_Bit16

    0下载:
  2. 用于FPGA和USB通信芯片之间的高速并行数据通信,包括FPGA程序代码和USB固件程序,-For high-speed parallel data communication between FPGA and USB communications chips, including FPGA and USB firmware code,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1624794
    • 提供者:庄晓奇
  1. usb_fpga_1_2

    0下载:
  2. usb fpga 1 2 source code forusb ı p core
  3. 所属分类:USB develop

    • 发布日期:2017-05-21
    • 文件大小:6304128
    • 提供者:tuna
  1. S6006

    0下载:
  2. 用于开发上位机的fpga的USB内置固件驱动-usb firmware driver
  3. 所属分类:USB develop

    • 发布日期:2017-04-03
    • 文件大小:102698
    • 提供者:小苏打
  1. USB2P0_FS_HS_COMBINED

    0下载:
  2. USB 1.1 FS only design has been implemented and tested on lattice FPGA. Setup is done for USB 2.0 High speed (480Mbps)mode
  3. 所属分类:USB develop

    • 发布日期:2017-05-17
    • 文件大小:5007596
    • 提供者:HANUMAN
  1. wishbone

    0下载:
  2. Wishbone规范具有如下特点:简单、紧凑,需要很少的逻辑门 完整的普通数据据传输总线协议,包括单个读写、快传输、读一修改一写周期、事件周期 数据总线宽度可以是8-64位 支持大端(big-endian)和小端(litle-endian),接口自动完成两者之间的转换。支持存储器映射、FIFO存储器、交叉互联 握手协议,允许速率控制 可以达到每个时钟周期进行一次数据传输 支持普通周期结束、重试结束、错误结束等总线周期形式 支持用户自定义的标志:采用MASTER/SLAVE体系结构 支持多点进程(
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:12751
    • 提供者:程浩武
  1. niosforusb

    0下载:
  2. 本程序功能是在FPGA上nios处理器的usb接口程序。-The program features in the FPGA on the Nios processor usb interface program.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:4341
    • 提供者:ymarki
  1. PDIUSB

    0下载:
  2. 用VHDl语言实现USB与FPGA接口模块代码-VHDl language with USB and FPGA Interface Module code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1319
    • 提供者:Diego
  1. CH372

    0下载:
  2. 本源码通过USB接口芯片实现了FPGA与计算机的通信,具有完整的工程文件以及源码,经验证成功地实现了该芯片的功能。-The source through the USB interface chip FPGA communication with the computer, with a complete project file and source code, proven successful realization of the chip.
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1642238
    • 提供者:zhu yue
  1. usbinf

    0下载:
  2. 基于FPGA的软核设计可以实现串口通信和usb通信的双向传输,以及可以在软核基础上实现无线以太等其他通信-FPGA-based soft-core design can be achieved usb communication serial communication and two-way transmission, and can be implemented in other communications such as wireless Ethernet based on soft-c
  3. 所属分类:Other systems

    • 发布日期:2017-06-13
    • 文件大小:19982764
    • 提供者:王琦
  1. USB3-V4

    0下载:
  2. 这是我自己做的项目,CY7C68013与FPGA中的USB程序,希望站长能通过-This is my own project, CY7C68013 USB and FPGA program in the hope that through the station
  3. 所属分类:CSharp

    • 发布日期:2017-04-17
    • 文件大小:161484
    • 提供者:lfj
  1. 22

    0下载:
  2. 一个上位机和FPGA通信的程序,usb芯片 是FFT2232-A PC and FPGA communication procedures, usb chip is FFT2232
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10643852
    • 提供者:王太兴
  1. DMD-control-board-G4100

    0下载:
  2. 数字微镜DMD空间光调制器控制平台G4100可以实现如下功能:1、兼容德州仪器TI D4100 开发系统。能够支持1920 X 1080分辨率DMD (DMD微镜为10.6微米,本征分辨率为1920X1080);同时还能支持1024 X 768分辨率的DMD(有两种微镜结构,一种是13.68 微米,对角线长度为0.7 英寸;另一种是10.8 微米的,对角线长度为0.55 英寸); 2、支持USB2.0 高速传输图片和控制信号,具有内同步和外同步功能,同步脉冲电平标准为CMOS 3.3V;
  3. 所属分类:software engineering

    • 发布日期:2017-04-01
    • 文件大小:630745
    • 提供者:刘明
  1. 17_usb_device

    1下载:
  2. 基于NIOS II的USB驱动设计,在FPGA平台上加入NIOS处理器以及需要的ip构成嵌入式系统实现USB数据传输-NIOS II design is based on the USB drive, and the need to join NIOS processor on an FPGA platform ip constitute embedded systems USB Data Transfer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2978
    • 提供者:ddiao
  1. FPGAluojidaima

    0下载:
  2. 16通道逻辑分析仪,100M,FPGA代码,包括FIFO,dram,usb等-16 channel logic analyzer, 100 m, the FPGA code, including FIFO, DRAM, usb, etc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:13501
    • 提供者:钢灵海川
  1. UsbFPGAdemo

    0下载:
  2. FPGA底层的USB接口芯片的驱动,用于向上位机传送数据。-Driving USB interface chip FPGA bottom, used to transmit data to the host computer.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2196482
    • 提供者:张仰望
« 1 2 ... 10 11 12 13 14 1516 17 »
搜珍网 www.dssz.com