CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA counter

搜索资源列表

  1. johnson

    0下载:
  2. JOHNSON计数器,8bit,在FPGA板子上简单的实现,给大家作为练习-JOHNSON counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:358448
    • 提供者:陈海
  1. Counter_VhdlCode

    0下载:
  2. it is a simple counter written in vhdl , can be simulated using model sim worked on xillinx for fpga.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1060
    • 提供者:aya
  1. Exp1-Led

    0下载:
  2. 本次实验使用 Xilinx FPGA的开发工具 ISE6.x,新建一个工程,并进行综合、布局布线、 下载配置。 这里建立的工程是使用 Create-SOPCMB上的发光二极管显示一个八位二进制计数器, 发光二极管亮表示该位为 0。 -Experimental use of the Xilinx FPGA development tools ISE6.x, create a new project, and comprehensive, the layout of wiring, d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:240519
    • 提供者:yangcheng
  1. clock10

    0下载:
  2. 篮球24秒计数器。用Verilog语言编写,在maxplus2中编译运行。适用于大部分FPGA开发板,但必须更改引脚分配。-24 seconds counter basketball. Verilog language used in compiling maxplus2 run. Applicable to most FPGA development board, but must change the pin assignment.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:943970
    • 提供者:符玉襄
  1. EDA

    0下载:
  2. 基于MAX PLUS 2 FPGA 依据状态机结构的10禁止计数器 内附其仿真图-MAX PLUS 2 FPGA based state machine based on the structure of the 10 counter containing the prohibition of the simulation map
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:11549
    • 提供者:yuqingwei
  1. FPGA_Counter

    0下载:
  2. 利用FPGA设计的可以自适应的频率计,里面有详细的文档介绍。-FPGA designs can use adaptive frequency counter, which document describes in detail.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:106395
    • 提供者:李庆雨
  1. contadorbcd

    0下载:
  2. BCD Counter with FPGA for practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:267473
    • 提供者:augusto
  1. cnt4_10

    0下载:
  2. 用VHDL在FPGA开发板上实现4位十进制计数器 -Use VHDL to achieve 4-bit decimal counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:171936
    • 提供者:yaoyuncheng
  1. Frequency_Counter

    0下载:
  2. 基于ep3c25的FPGA频率计的简单设计(用VHDL编写),直接打开即可-FPGA frequency counter based on ep3c25 of simple design (using VHDL written), directly open a can ... ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1130646
    • 提供者:yunhen
  1. dianzizhong

    0下载:
  2. 利用FPGA实现电子钟,包括计数器控制器。-Using FPGA to achieve clock, including the counter controller.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1536
    • 提供者:羔羊
  1. chap8_CntStep

    0下载:
  2. FPGA学习资料-VHDL语言实现的计数器-FPGA-VHDL language learning materials counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:297653
    • 提供者:zeven
  1. success

    0下载:
  2. 各种FPGA初级入门程序(已调试通过),包括计数器、流水灯、7段数码管显示以及PS2键盘接口驱动,采用VHDL语言编写,适合初学者参考-Various FPGA primary entry procedures (already debugged), including the counter, water light, 7 segment LED display and PS2 keyboard interface driver, using VHDL language, suitable f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1450369
    • 提供者:王玉强
  1. plj

    0下载:
  2. 此程序为fpga的频率计vhdl程序,功能是可以检测到输入信号的频率并且通过八位数码管显示-This procedure is the frequency counter vhdl fpga program function is to detect the frequency of the input signal and the digital display by eight
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:560720
    • 提供者:宫晓鹏
  1. A_VHDL_Timer

    0下载:
  2. 8254计数器fpga实现vhdl语言英文说明文档-8254 counter vhdl fpga implementation language English documentation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:336391
    • 提供者:日三省吾身
  1. counter100

    0下载:
  2. VHDL语言 FPGA 一百进制计数器 元件例化方法-VHDL, FPGA hundred cases of binary counter element method
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2841
    • 提供者:李宁
  1. jishuqi

    0下载:
  2. 计步器程序 使用vhdl描述 实现实时的计步功能 用fpga实现已通过-step counter Pedometer programs use VHDL achieve real-time project described in step function already through fpga realizing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:6268
    • 提供者:datangde
  1. numarator

    0下载:
  2. counter source code in vhdl, implemented on fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:404695
    • 提供者:alyna
  1. Clk50M_div_1HZ

    0下载:
  2. Clk50M_div_1HZ,调试已通过,采用计数器分频 此实验采用计数器,将板载的50MHz时钟源分频为1Hz,分频的结果以LED灯的形式显示。下载电路至FPGA后,会发现LED0会以1Hz的频率闪动。-Clk50M_div_1HZ, using counter this study, frequency counter, onboard 50MHz clock frequency of 1Hz, frequency results in the form of LED lights di
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:324179
    • 提供者:王晨
  1. ftctrl

    0下载:
  2. 基于FPGA实现的32位计数器,可控制计数位宽。-FPGA-based implementation of the 32-bit counter, can control the counting bits wide.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:801689
    • 提供者:林积分
  1. Ring4

    0下载:
  2. 用verilog代码编写的环形计数器的FPGA工程。-Verilog code written with the ring counter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:200956
    • 提供者:袁媛
« 1 2 3 4 5 67 8 9 10 »
搜珍网 www.dssz.com