CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA rom

搜索资源列表

  1. MSP430JIAdds

    0下载:
  2. MSP430和FPGA通信模块四个ROM,里面包含DDS程序代码,通信代码-MSP430 and FPGA communication module of four ROM, which contains the DDS code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18510515
    • 提供者:
  1. RISC-CPU

    1下载:
  2. 用FPGA实现一个简易的CPU,采用精简指令集结构,每一条指令有16bit,高三位为指令操作数,后13位为地址,该CPU能实现8种指令操作,分别有HLT(空一个中期)ADD(相加操作)SKZ(为零跳过)AND(相与操作)XOR(异或操作)LDA(读数据)STO(写数据)JMP(无条件跳转指令)。cpu包括8个部件,分别为时钟发生器、指令寄存器、累加器、算术逻辑单元、数据控制器、状态控制器、程序计数器、地址多路器,各个部件之间的相互操作关系由状态控制器来控制,程序指令存放在初始rom中,本例程存放
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3147284
    • 提供者:vice
  1. bwcfq

    0下载:
  2. 纯组合逻辑构成的乘法器虽然工作速度比较快,但过于占用硬件资源,难以实现宽位乘法器,基于PLD器件外接ROM九九表的乘法器则无法构成单片系统,也不实用。这里介绍由八位加法器构成的以时序逻辑方式设计的八位乘法器,具有一定的实用价值,而且由FPGA构成实验系统后,可以很容易的用ASIC大型集成芯片来完成,性价比高,可操作性强。-err
  3. 所属分类:source in ebook

    • 发布日期:2017-04-12
    • 文件大小:1047
    • 提供者:makai
  1. FPGAshili

    0下载:
  2. 学习VHDL语言时买的教科书后面的光盘,有20个典型的VHDL编写的程序,是学习VHDL和FPGA的非常好的资料,很多程序在网上是找不到的。-VHDL language learning textbook buy back the CD-ROM, 20 a typical procedure for the preparation of the VHDL, VHDL and FPGA to learn the very good information, many procedures are
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8384037
    • 提供者:但的东
  1. ADC0809VHDL

    0下载:
  2. 8.4 ADC0809 VHDL控制程序 见随书所附光盘中文件:ADC0809VHDL程序与仿真。 --文件名:ADC0809.vhd --功能:基于VHDL语言,实现对ADC0809简单控制 --说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 --最后修改日期:2004.3.20 -8.4 ADC0809 VHDL con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3915
    • 提供者:wangnan
  1. sindata

    0下载:
  2. 能够生成正弦波基于时域的离散值,并可在文本文件中输出"XXX,XXX,XXX,XXXX"的格式,方便在ROM中的调用。-Sine wave value generate programming by C, can output format like"XXX,XXX,XXX,XXX", easily used for FPGA... s rom.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:304508
    • 提供者:ziyan
  1. DDS

    0下载:
  2. 本代码可以用于产生正余弦信号波形,利用FPGA内部的ROM放置一个正余弦采样点的数据表格,通过循环取址的方法,实现波形连续输出。-This code can be used to generate positive cosine signal waveforms, using FPGA' s internal ROM to place a sampling point is the cosine of the data tables, the circulation method of t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:484558
    • 提供者:蔡野锋
  1. sine_wave_generator_using_FPGA_implementation

    0下载:
  2. 该资料介绍了用FPGA实现正弦波发生器,原理是利用内置rom表,通过查询的方式实现输出,然后经过外部DAC输出,频率达到1MHz-The information on the sine wave generator using FPGA implementation, the principle is the use of built-in rom form, by querying the means to achieve the output, and then an external DA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2190272
    • 提供者:陈振林
  1. DSPwithFPGA(2e)

    0下载:
  2. 数字信号处理的FPGA实现(第二版)的配套光盘,包含例程。还有书中的代码示例,是看书过程中必备的参考。-FPGA implementation of digital signal processing (second edition) of the complete CD-ROM, contains routines. There are sample code book is essential reading process reference.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:864119
    • 提供者:chriscing
  1. 11177ghdbdhdb

    0下载:
  2. 设计一个DDS信号发生器 利用FPGA 分辨率优于1Hz ROM表长度8位-Design of a DDS signal generator using FPGA resolution is better than 1Hz ROM table length 8
  3. 所属分类:Other systems

    • 发布日期:2017-05-26
    • 文件大小:8928592
    • 提供者:方婷
  1. shuangkouROM

    0下载:
  2. MSP430与FPGA中的双口ROM 的通信!-MSP430 and the FPGA' s dual-port ROM communication!
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:29793
    • 提供者:甘旭东
  1. DDFS_verilog

    0下载:
  2. 直接数字频率综合器,采用ROM压缩法,经过FPGA验证和AISC实现-Direct digital frequency synthesizer, using ROM compression method, validation and AISC through FPGA Implementation
  3. 所属分类:3G develop

    • 发布日期:2017-04-06
    • 文件大小:4973
    • 提供者:jessie
  1. XilinxFPGA

    0下载:
  2. 关于Xilinx FPGA的一本书的配套光盘,有很多不错的例子,可以参考一下-Xilinx FPGA on a book supporting CD-ROM, a lot of good examples for reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2586931
    • 提供者:红光
  1. sinwave

    0下载:
  2. 生成FPGA编程中rom初始化需要的.mif文件。生成的为1/4周期正弦波数据。宽度为8,深度为1024-Generate FPGA programming rom initialization required. Mif file. Generated 1/4 cycle sine wave data. Width is 8, a depth of 1024
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:653
    • 提供者:吴小平
  1. squarewave

    0下载:
  2. 生成FPGA编程中rom初始化需要的.mif文件。生成的为1个周期方波波数据。宽度为8,深度为1024-Generate FPGA programming rom initialization required. Mif file. Generated for a cycle square wave data. Width is 8, a depth of 1024
  3. 所属分类:matlab

    • 发布日期:2017-04-08
    • 文件大小:669
    • 提供者:吴小平
  1. shuangjixingbo

    0下载:
  2. 生成FPGA编程中ROM初始化所需要的.MIF文件。该程序生成的是双极性波的波形数据。生成的数据宽度为8,深度是1024。-ROM generation FPGA programming needed to initialize. MIF file. The program is a bipolar wave generated by the waveform data. Generated data width is 8, the depth is 1024.
  3. 所属分类:matlab

    • 发布日期:2017-04-07
    • 文件大小:702
    • 提供者:吴小平
  1. sssss

    0下载:
  2. 掌握FPGA可编程门阵列的基本方法 2).掌握Xilinx ISE 9.0的基本使用方法以及在ise的环境下导入51核及其配置方法 3).学会将keil编译成功个hex文件变为coe文件,导入例化的rom 4).学习设计核的关键与方法 -Programmable Gate Array FPGA to master the basic method 2). Xilinx ISE 9.0 to grasp the basic use and the environment in t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:399509
    • 提供者:liujia
  1. sin2

    0下载:
  2. fpga正弦波发生函数,可用于自动生成rom文件-fpga sine wave generating function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:17620
    • 提供者:york
  1. dds

    0下载:
  2. 通过查表法,用FPGA实现波形的输出。预先将数据存放在ROM中,依次读取数据并输出。-Look-up table method, the output waveform with FPGA implementation. Advance to data stored in ROM, in order to read data and output.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2160300
    • 提供者:黄页中
  1. data_generator_hex

    0下载:
  2. fpga设计时rom的初始化文件(.HEX)生成文件,有详细注释,修改文件保存位置后可以运行-fpga design rom initialization file (. HEX) to generate documents, detailed notes, you can modify the location to save the file to run
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:4079
    • 提供者:
« 1 2 3 4 56 7 8 »
搜珍网 www.dssz.com