CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FPGA rom

搜索资源列表

  1. DDS

    0下载:
  2. 首先利用VC6.0程序产生正弦波,三角波ROM数据,并将数据导入FPGA的ROM,利用TLV5618是串行输入的12位高精度快速双口D/A转换器输出。-First using VC6.0 program generate sine wave, triangle wave ROM data, and the data import the ROM of the FPGA, using TLV5618 is high precision and fast duplex serial input 12
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-18
    • 文件大小:3969966
    • 提供者:郑生
  1. sin_10k

    0下载:
  2. 基于FPGA的利用rom进行查询的方式生成一个频率为10KHZ的sin信号,编译成功,并实现功能仿真。-Query based on the the FPGA use of rom generate a frequency of 10 kHz sin signal, compiled successfully and to achieve functional simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:731099
    • 提供者:二妮子
  1. test2

    0下载:
  2. 使用FPGA产生正弦波形,需先通过matlab产生一组正弦信号:a=1:1:1023 b=127*cos((1/512)*pi*a)+128 b=round(b’) 将生成的1024个在1~255之间的数存入ROM之中。-Using the FPGA to generate the sine waveform, the need to produce a set of sinusoidal signals through matlab: a = 1:1:1023 b = 12
  3. 所属分类:Other windows programs

    • 发布日期:2017-11-21
    • 文件大小:470866
    • 提供者:LL
  1. sine

    0下载:
  2. 正弦信号发生器的设计,正弦信号发生器的结构由3 部分组成。数据计数器或地址发生器、数据ROM 和D/A。性能良好的正弦信号发生器的设计要求此3 部分具有高速性能,且数据ROM 在高速条件下,占用最少的逻辑资源,设计流程最便捷,波形数据获最方便。下图是此信号发生器结构图,顶层文件SINGT.VHD 在FPGA 中实现,包含2 个部分:ROM 的地址信号发生器,由5 位计数器担任,和正弦数据ROM,拒此,ROM由LPM_ROM模块构成能达到最优设计,LPM_ROM底层是FPGA中的EAB或ESB等。
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-11-14
    • 文件大小:1826219
    • 提供者:吴祥
  1. dot-matrix-display

    0下载:
  2. 1、 了解点阵字符产生和显示原理和系统的16*16点阵的工作机理。 2、 加强对总线产生、地址定位的CPLD实现方法的理解。 3、 掌握在FPGA中调用ROM的使用方法。 -1 for dot matrix character generator and display principles and systems 16* 16 dot matrix working mechanism. 2, to strengthen the bus generation, address loca
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1951131
    • 提供者:于治成
  1. MifFileGen

    0下载:
  2. 软件生成Altera公司FPGA内部存储器ROM初始化数据mif格式文件。方便通过QuartusII导入波形等参数-This software generates internal memory ROM initialization mif format data file for FPGA product by Altera. Facilitate the passage of the waveform parameters such as import QuartusII
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-30
    • 文件大小:62367
    • 提供者:leiyahui
  1. CoreFIR_RTL-3.0

    0下载:
  2. actelIP核 的fircore Core Generator – Executable File Outputs Run-Time Library (RTL) Code and Testbench Based on Input Parameters – Self-Checking – Executable Tests Generated Output against Algorithm • Distributed Arithmetic (DA) Algori
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1051148
    • 提供者:睿宸
  1. VHDL-based-music-player-design

    0下载:
  2. 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl-For the I semester of 20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4927169
    • 提供者:刘志
  1. proyectoadmin

    0下载:
  2. vga controler in fpga spartan 3e witch memory rom.
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-18
    • 文件大小:4816896
    • 提供者:camlazo
  1. DE2_SD_Card_Audio

    0下载:
  2. 使用Quartus Ⅱ与 NIOS Ⅱ IDE。 功能要求:(可实现某几项或全部) 1. 支持SD卡文件读取; 2. 支持WAV或MP3或其他格式音频,如为压缩格式则需解压缩; 3. 歌曲名称LCD显示; 4. 支持“播放/暂停”控制功能; 5. 支持“前一首”功能; 6. 支持“下一首”功能; 7. 支持LED灯显示音量功能; 8. 支持复位功能; 9. 支持硬启动,FPGA码流文件和软件二进制文件写入ROM,从ROM启动; 10. 支持总歌曲数和第
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1669658
    • 提供者:Shayne
  1. bmp2bin

    0下载:
  2. 将BMP图像信息转换成coe文件,用与Xilinx fpga的ROM初始化-turn the information of BMP to coe document for Xilinx FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:323781
    • 提供者:liling
  1. draw_char_type

    0下载:
  2. FPGA字符显示控制,RAM作为显存地址存放现在内容,ROM作为显示字模。-FPGA character display control, RAM memory address is stored as the content now, ROM as a display font.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1251008
    • 提供者:xiaomei
  1. zxb

    0下载:
  2. 利用VHDL语言编程产生正弦信号,熟悉介绍了LPM_ROM与FPGA硬件资源的使用方法,包括仿真和资源利用情况了解,包括SignalTap II测试、FPGA中ROM的在系统数据读写测试和利用示波器测试。完成了配置器件的编程。-Using VHDL language programming sinusoidal signal, using the method described LPM_ROM familiar with FPGA hardware resources, including s
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:322048
    • 提供者:李小花
  1. vga_driver

    0下载:
  2. 基于EP3C16的VGA显示驱动工程。时钟40M,图片存储在FPGA内部的ROM中,VGA显示器分辨力为800*600*60Hz,存储图片需要800*600点(bit),由于EP3C16的ROM不够大,ROM中存储内容为8bit*30000;显示器内容为上下半屏分别显示ROM中的内容,显示图片相同。ROM中的内容由地址线的变化来控制。-Display driver works based EP3C16 of VGA. Clock 40M, pictures stored in the ROM o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11255906
    • 提供者:郭俊媛
  1. DDS

    0下载:
  2. DDS的FPGA实现(VHDL),只可调频,调幅可于外部DA实现。(内附三角波、正弦波、方波的rom调用)-DDS on FPGA (VHDL), only FM, AM can be implemented in an external DA. (With triangular wave, sine wave, square wave rom call)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:546860
    • 提供者:WSong
  1. music-player

    0下载:
  2. 基于fpga的音乐播放器,其中的rom文件包含了几个音乐,可以实现播放,切歌等功能-music player based on fpga
  3. 所属分类:SCM

    • 发布日期:2017-04-26
    • 文件大小:437039
    • 提供者:姜墨泉
  1. image_combine_v

    0下载:
  2. 用于在FPGA中实现图像叠加字幕,字符为FPGA内部rom存储的点阵。-combine word on video stream in FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2359
    • 提供者:夏思宇
  1. 10_rom_test

    0下载:
  2. rom test,基于FPGA的rom测试,很好的学习资料,大家都来学一学-rom test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5245747
    • 提供者:zhouhaimin
  1. SPI_ROM

    0下载:
  2. FPGA实现非标准SPI总线数据的接收和解码,并实现ROM数据的读取和执行-FPGA implementation of non-standard SPI bus to receive and decode the data, and to achieve ROM data read and
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-21
    • 文件大小:6033791
    • 提供者:zhlifeng0316
  1. verilog-midi-reader-master

    0下载:
  2. MIDI file parser that converts song and lyric data to Verilog ROM format for use on an FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:22639
    • 提供者:小海豚
« 1 2 3 4 5 6 78 »
搜珍网 www.dssz.com