CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - MSK

搜索资源列表

  1. 1

    0下载:
  2. 关于MSK的英文资料 Bit Error Performance Analysis of FH/MSK System in Different Multi-tone Noise Jamming
  3. 所属分类:邮电通讯系统

    • 发布日期:2008-10-13
    • 文件大小:204509
    • 提供者:xj
  1. MSK

    0下载:
  2. MSK信号调制解调的新方法,并用MATLAB仿真
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:205236
    • 提供者:zxj
  1. tiaozhijietiao

    0下载:
  2. 调制解调程序包括bpsk,qpsk,msk,gmsk等等。用matlab。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:32596
    • 提供者:吴胜军
  1. 11111

    0下载:
  2. 直扩MSK中频数字化相干接收的设计与实现?崩㎝SK中频数字化相干接收的设计与实现
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:98470
    • 提供者:肖旭慧
  1. msk_mod

    1下载:
  2. 关于msk的调制解调 特点是程序简洁 可以迅速产生信号 节省运行时间
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:1075
    • 提供者:小美
  1. msk_jifen

    1下载:
  2. 这是关于msk的调制解调的程序。用积分的方法进行调制 程序的最后还给出了误码率的计算。
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:2259
    • 提供者:小美
  1. msk_juanji

    1下载:
  2. 这是关于msk的调制解调。用卷积的方法进行调制 程序的最后还给出了误码率的计算
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2295
    • 提供者:小美
  1. NewMsg-RF1100

    0下载:
  2. (1) 315、433、868、915Mh的ISM 和SRD频段 (2) 最高工作速率500kbps,支持2-FSK、GFSK和MSK调制方式 (3) 高灵敏度(1.2kbps下-110dDm,1%数据包误码率) (4) 内置硬件CRC 检错和点对多点通信地址控制 (5) 较低的电流消耗(RX中,15.6mA,2.4kbps,433MHz) (6) 可编程控制的输出功率,对所有的支持频率可达+10dBm (7) 支持低功率电磁波激活功能 (8) 支持传输前自动清理信道
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:319319
    • 提供者:李华力
  1. matlaborder

    1下载:
  2. 于毕业设计与论文以及做课题用-MSK Simulink simulation program for the design and graduation thesis topic, and making use
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:222206
    • 提供者:q
  1. FSK

    0下载:
  2. MSK通信系统的SystemView设计与实现,对MSK的调制和解调进行详细地介绍,并对仿真做出设计方案,并实现仿真.
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:159790
    • 提供者:阿妹儿
  1. DDSmsk

    1下载:
  2. 基于FPGA+DDS的MSK数字调制源设计 C语言控制DDS
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1540
    • 提供者:鹏鹏
  1. gai

    1下载:
  2. 这是MSK调制与解调的新方法,可以进行积分运算,在matlab中运行
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:2209
    • 提供者:王卓
  1. msk_system

    0下载:
  2. 系统化的MSK算法
  3. 所属分类:matlab例程

    • 发布日期:2008-10-13
    • 文件大小:838
    • 提供者:llt
  1. msk_top

    0下载:
  2. msk的verilog程序 利用FPGA实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:866
    • 提供者:ouyang
  1. Ofdm ber2

    4下载:
  2. 该程序源代码实现了OFDM通信系统性能的仿真,调制方式有BPSK,QPSK,MSK,QAM,信道为AWGN和Rayleigh信道,并对有插入导频的符合IEEE802.11a的OFDM系统进行了仿真。-The program source code to achieve the performance of OFDM communication system simulation, modulation has BPSK, QPSK, MSK, QAM, for the AWGN channel
  3. 所属分类:源码下载

  1. 各种信号调制

    0下载:
  2. msk psk qam
  3. 所属分类:源码下载

  1. 基于FPGA的MSK调制解调

    2下载:
  2. 所属分类:源码下载

    • 发布日期:2011-04-08
    • 文件大小:247468
    • 提供者:yanzi1989
  1. mskviterbi

    1下载:
  2. 该程序实现的是MSK调制方式下,用维特比实现译码的功能-The program of the realization of the MSK modulation mode, is with victor than implement the function of the decoding
  3. 所属分类:Communication

    • 发布日期:2016-06-05
    • 文件大小:1024
    • 提供者:Ming Sheng
  1. mskgmskbfsk

    0下载:
  2. 包中详细架构了BFSK MSK GMSK调制系统 和误码率分析-BFSK MSK GMSK
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-06
    • 文件大小:23524
    • 提供者:天天
  1. matlab 几个算法源码

    0下载:
  2. msk.doc 1产生一个随机序列的信息 2差分编码
  3. 所属分类:matlab例程

« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 28 »
搜珍网 www.dssz.com