CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - MSK MATLAB

搜索资源列表

  1. communicationsystem

    0下载:
  2. 基于MATLAB的简单通信系统仿真 13折线 PCM编解码 BPSK调制解调 MSK调制解调-MATLAB-based simulation of a simple communication system 13 line PCM codec MSK modulation and demodulation BPSK modulation and demodulation
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:81701
    • 提供者:张兆伟
  1. sim_MSK

    0下载:
  2. MSK调制方式的matlab仿真源代码,供大家学习、参考。-MSK modulation matlab simulation source code for all to learn, for reference.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1208
    • 提供者:
  1. gezhong-fsk

    0下载:
  2. 对各种进制的调频进行了matlab仿真,程序经过验证,完整可靠!-FM band on a variety of matlab simulation carried out, the program is verified, complete and reliable!
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:75353
    • 提供者:离开
  1. chapter4-matlab-program

    0下载:
  2. ASK,QPSK,FSK,MSK等通信中经典的调制程序,用matlab编写,非常适合通信领域专业参考-ASK, QPSK, FSK, MSK modulation and other communications in the classic procedure, using matlab prepared, very professional reference for communication
  3. 所属分类:matlab

    • 发布日期:2017-04-16
    • 文件大小:29615
    • 提供者:littlesky
  1. GMSK-based-on-matlab

    0下载:
  2. 基于MATLAB实现OQPSK,MSK,GMSK的调制解调程序-MATLAB-based implementation OQPSK, MSK, GMSK modem program
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:1055
    • 提供者:朱法溶
  1. mskmod

    0下载:
  2. 解释详细的MSK调制实现,适用于初学者,简单易懂,图形好看-The MSK modulation to achieve a more detailed explanation for beginners, easy to understand, good-looking graphics
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-04
    • 文件大小:947
    • 提供者:lovelymeng
  1. code-QPSK_MSK

    0下载:
  2. 数字调制QPSK和MSK的性能对比,通过计算和比较频谱利用率、功率谱的滚降、误码性能等-QPSK and MSK digital modulation performance comparison, by calculating and comparing spectral efficiency, power spectrum roll-off, error performance, etc.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-30
    • 文件大小:1307
    • 提供者:王双双
  1. msk

    0下载:
  2. 基于matlab的msk调制解调系统的设计。方便大家使用。希望能找到相应的simulink仿真。-This function is based on modulation and dmodulation 0f mak signals.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-27
    • 文件大小:945
    • 提供者:梅馨文
  1. MATLAB-GMSK

    0下载:
  2. GMSK with matlab and comperation with MSK in mATLAB and show results
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-01
    • 文件大小:28929
    • 提供者:atra
  1. msk-in-matlab

    3下载:
  2. 用MATLAB编写与仿真了MSK信号,其中结合了自己写的快速傅立叶变换实现了MSK信号的调制还有解调,而且作为拓展,还加入噪声、通过带通滤波器、低通滤波器、载波信号等等。调制解调都很有用,画出的图也很漂亮规范。-Using MATLAB and the simulation of the MSK signal, which combines the fast Fourier transform to write their own modulation there demodulation of
  3. 所属分类:matlab

    • 发布日期:2014-04-19
    • 文件大小:2048
    • 提供者:danny
  1. msk

    0下载:
  2. this file is matlab code for simulating msk modulation
  3. 所属分类:matlab

    • 发布日期:2017-04-11
    • 文件大小:527
    • 提供者:ali
  1. msk

    2下载:
  2. msk的matlab调制与解调仿真,使用延时相干解调方式,包含差分编码与解码模块-modulation and demodulation of msk of Matlab simulation, delay Demodulation, including differential encoding and decoding modules
  3. 所属分类:matlab

    • 发布日期:2017-04-06
    • 文件大小:3346
    • 提供者:judeliu
  1. MSK

    0下载:
  2. 典型的MATLAB编程实现MSK连续功率谱描述,并且绘出相应的离散点的功率谱值-The typical MATLAB programming the MSK continuous power spectral descr iption, and draw the power spectral values ​ ​ of the corresponding discrete points ......
  3. 所属分类:matlab

    • 发布日期:2017-05-04
    • 文件大小:1047939
    • 提供者:李凡
  1. ASK-BPSK-QPSK-16QAM-64QAM-msk

    0下载:
  2. ASK、BPSK,QPSK,16QAM,64QAM,msk and so on in matlab .I hope it will be useful for you.-ASK、BPSK,QPSK,16QAM,64QAM,msk and so on in matlab .I hope it will be useful for you.
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:7386
    • 提供者:欧阳超
  1. MSK

    0下载:
  2. Matlab MSK调制模拟代码 使用Matlab实现MSK调制并给出相应频谱图形-Matlab MSK modulated analog code uses Matlab to achieve the MSK modulation and gives the corresponding spectrum graph
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:1723
    • 提供者:ArthurLi
  1. MSK

    0下载:
  2. MSK调制解调程序,加入高斯白噪声,使用matlab编写,绝对原创-MSK modulation and demodulation process, adding white Gaussian noise using matlab absolute originality
  3. 所属分类:3G develop

    • 发布日期:
    • 文件大小:1207
    • 提供者:赵兵兵
  1. msk-simulation-MATLAB-program

    0下载:
  2. 完整msk的调制解调信道误码率仿真MATLAB程序-Complete msk modulation and demodulation bit error rate simulation MATLAB program
  3. 所属分类:Project Design

    • 发布日期:
    • 文件大小:167937
    • 提供者:wenbing
  1. msk

    0下载:
  2. msk modulation in matlab simulink
  3. 所属分类:matlab

    • 发布日期:2017-12-02
    • 文件大小:5561
    • 提供者:Chella
  1. msk

    0下载:
  2. msk原理的matlab实现,基本原理,基本原理,基本原理-realization the msk principle of the matlab basic principles, the basic principles, the basic principles of
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-11-28
    • 文件大小:2048
    • 提供者:高凡
  1. MSK

    0下载:
  2. 学会使用MATLAB仿真软件搭建MSK调制解调仿真电路建立MSK调制解调系统仿真模型-Learn how to use MATLAB simulation software to build the MSK modulation and demodulation simulation circuit MSK modulation and demodulation system simulation model
  3. 所属分类:matlab

    • 发布日期:2017-11-15
    • 文件大小:1081344
    • 提供者:云龙
« 1 2 3 45 6 7 8 9 10 ... 13 »
搜珍网 www.dssz.com