CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Nios

搜索资源列表

  1. 12_lcd12864

    0下载:
  2. 本实验是用LCD12864显示英文 显示 Our FPGA EDA NIOS II SOPC FPGA-This experiment is with LCD12864 show displayed in English Our FPGA EDA NIOS II SOPC FPGA
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2017-04-01
    • 文件大小:870772
    • 提供者:mei@qiu@jun
  1. nNIOSIIi

    0下载:
  2. niosII常用函数介绍,对研究究NIOS的人员很有帮助可直接使用。 -niosII commonly used functions, the helpful staff of the research study NIOS can be used directly.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:4744
    • 提供者:谨防
  1. UART

    0下载:
  2. nios II 下串口源程序,实现与上位机串口通信,硬件配置自己在sopc builder 下配置-nios II serial source code, the serial communication with the host computer, the hardware to configure their own configuration in sopc builder
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-07
    • 文件大小:1063
    • 提供者:wangjinwu
  1. ds_nios2_3c25_lcd

    0下载:
  2. developing Nios II H/W & S/W. LCD driving example.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:259306
    • 提供者:Joshua
  1. Nios-II--9.1-Command-Shell

    0下载:
  2. 用于在FPGA烧写程序时不必启动软件,直接通过编写shell文件即可完成相应的工作。-For FPGA programming procedures do not have to start the software, directly by writing shell files to complete the work.
  3. 所属分类:Linux Network

    • 发布日期:2017-03-24
    • 文件大小:3856
    • 提供者:于波
  1. ANIOStestrepob

    0下载:
  2. 有关NIOS ii 各种学习试验报告,通过这个nios配置试验,可以大概了了解nios的整个配置过程,熟悉 NIOS的CPU结构和Avalon总线规范。 -A variety of learning about the NIOS ii test report, test configuration through the nios can probably understand nios the entire configuration process, familiar with the
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-02
    • 文件大小:227658
    • 提供者:lenyuhai
  1. Lcd_FPGA_NIOS

    0下载:
  2. 基于NIOS和SOPC builder平台,使用C++语言实现对LCD显示控制。-Based on NIOS and SOPC builder platform to use C++ language to control the LCD display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3348
    • 提供者:
  1. flash

    0下载:
  2. 在nios环境下,结合verilog语言开发,功能是往flash里面写0-99并打印出来-Nios environment, combined with the verilog language development function is to write to flash inside the 0-99 and print out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17380268
    • 提供者:zq
  1. led

    0下载:
  2. 在nios环境下,结合verilog语言开发,功能是永远不变的流水灯操作-Nios environment, combined with the verilog language development, the function is always the same water lamp operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7494704
    • 提供者:zq
  1. SDRAM

    0下载:
  2. 在nios环境下,结合verilog语言开发,功能是往SDRAM里面写0-99并打印出来-Nios environment, combined with the verilog language development function is to write to the SDRAM inside 0-99 and print out
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-10
    • 文件大小:16943104
    • 提供者:zq
  1. sysclk

    0下载:
  2. 在nios环境下,结合verilog语言开发,功能是验证系统的定时器功能-Nios environment, combined with the verilog language development, functional verification system timer function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17647066
    • 提供者:zq
  1. timer

    0下载:
  2. 在nios环境下,结合verilog语言开发,功能是结合系统定时器的流水灯操作-Nios environment, combined with the verilog language development is a combination of water of the system timer lamp operating
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-10
    • 文件大小:17015759
    • 提供者:zq
  1. june2010_1

    0下载:
  2. 一种新型SOPC自动指纹识别系统设计,:本文设计了一种基于SOPC的新型结构的自动指纹识别系统。通过对指纹处理整体流程的选择和优化,把耗时较多的指纹预处理部分整体硬件化,耗时较少的匹配部分软件化,使得系统处理速度有了显著提高,1.5s内可以完成一幅指纹图像的预处理,3s内可以完成一幅指纹图像的比对。本设计使用Quartus II软件完成了系统模块设计及仿真,使用NiosⅡ IDE软件完成了软件代码的实现,并在以Altera 公司的Cyclone II FPGA芯片为核心的DE2开发板上实现了整个
  3. 所属分类:Project Design

    • 发布日期:2017-04-04
    • 文件大小:610362
    • 提供者:kudding
  1. FPGA__uart(quartus11.0)

    0下载:
  2. 实现串口调试,也可以实现多个串口,自己建立nios核,多哥串口,带上拉电阻,以用CH340实现RS232通信-VERION verliog,qurttus 11.0 nios:nios_IDE11.0 ,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:15211822
    • 提供者:袁明
  1. uart3

    0下载:
  2. 实现多个串口通信,这里是两个各,两个会了,多个也一样,版本qurtus11.0,nios:nios_11.0-you can have ues this rs232 conmunition, qurtus11.0,nios:nios_11.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:15671710
    • 提供者:袁明
  1. nios2_flowwater

    0下载:
  2. 经典的nios2小例程,学习nios入门的经典例程。有疑问可登陆http://hongqinfpga.taobao.com/联系我,本人专门研究fpga嵌入式,欢迎朋友们一起加入学习。-Classic the nios2 small routines, learning the nios entry classic routines. Questions can visit http://hongqinfpga.taobao.com/ contact me, I specialize in th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:7107971
    • 提供者:权利红
  1. MyC2Board_RS232_Test

    0下载:
  2. 这是一个Altera FPGA NIOS II RS232通讯程序。 在Quartus II工程中,用Qsys建立了一个NIOS II为核心的CPU系统,并挂接了一个RS232接口。 在software目录下,有三个工程,一个是用C++类包装的RS232类的Eclipse工程,一个是不用C++类包装的Eclipse工程,还有一个是用VC++2008编写的RS232测试工程。 VC++2008编写的工程运行在PC机上,与FPGA中的NIOS II通讯。 这个实验的主要目的是编写一个
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-02
    • 文件大小:13865090
    • 提供者:li hui xian
  1. Nios_SW_handbook

    0下载:
  2. nios starter tutorial
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1939689
    • 提供者:Venky
  1. Add_SRAM_method_NIOSII

    0下载:
  2. NIOSII添加SRAM方法,用于Nios嵌入式处理软核处理器额应用-Add SRAM method NIOSII. Pdf
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-07
    • 文件大小:122648
    • 提供者:东东
  1. led

    0下载:
  2. 基于vhdl的led内核程序,用nios 2编写,有助于nios内核的学习-Use nios based vhdl led kernels procedures written to help nios kernel learning
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:5943
    • 提供者:李晓阳
« 1 2 ... 43 44 45 46 47 4849 50 »
搜珍网 www.dssz.com