CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - OFDM VHDL

搜索资源列表

  1. ana

    0下载:
  2. 使用VHDL設計一個適用於ETSI OFDM的時間和頻率同步處理器-use of a VHDL design ETSI OFDM applied to the time and frequency synchronization Processor
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:1405564
    • 提供者:山姆大叔
  1. OFDMRxSynchronization

    1下载:
  2. 使用FPGA設計WiMax接收機之OFDM同步硬體電路(內附VHDL code)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:127330
    • 提供者:蔡宗軒
  1. ofdm_vhdl

    0下载:
  2. 使用VHDL语言,实现了OFDM系统的系统。主要是有自己编写的FFT、IFFT程序,有比较大的参考价值。请用ISE9.2打开
  3. 所属分类:弱点检测代码

    • 发布日期:2014-01-17
    • 文件大小:29285
    • 提供者:nini
  1. OFDMcode

    0下载:
  2. OFDM 的 VHDL 实现 分块实现. 功能强大
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:40094
    • 提供者:付文强
  1. ldpc

    2下载:
  2. 最近在做毕设,ldpc码的编解码实现,这个是verilog实现。-Recently completed the set up to do, ldpc code codec implementation, this is the Verilog implementation.
  3. 所属分类:Communication-Mobile

    • 发布日期:2016-08-16
    • 文件大小:8992
    • 提供者:fly
  1. ofdm_modulation_v72

    0下载:
  2. This file contains a source code of OFDm system written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:656926
    • 提供者:Ali
  1. FFT1024

    1下载:
  2. System generator code for fft implementation. Pls enjoy it
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:23875
    • 提供者:phuc
  1. stbc

    2下载:
  2. STBC的硬件实现源代码,用Verilog语言写的-STBC hardware to achieve source code, written using Verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1709
    • 提供者:周金喜
  1. ofdm_cp_insertion_v71

    0下载:
  2. Quartus公司的实例VHDL源码,用于OFDM的循环前缀的插入操作,很具有指导意义。其中, Quartus的版本必须为7.1以上-ofdm_cp_insertion
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:774505
    • 提供者:fancyou
  1. 3GPP

    0下载:
  2. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:43991
    • 提供者:hamza
  1. Verilog

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-12
    • 文件大小:13293
    • 提供者:明义
  1. WP8-Lund-VTC2004-05-05-2004-V1.0

    0下载:
  2. vhdl for fft and ofdm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:51443
    • 提供者:ingo
  1. vhdl-implementation-of-cordic-algorithm-for-wirel

    0下载:
  2. OFDM system model and Block diagram of CORDIC algorithm using FPGA VHDL code -OFDM system model and Block diagram of CORDIC algorithm using FPGA VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:394913
    • 提供者:
  1. ofdm_vhdl

    0下载:
  2. OFDM的VHDL代码,ofdm_vhdl文件,包括fft,ifft等模块,能正确运行得到结果-The VHDL code for OFDM, ofdm_vhdl documents, including fft, ifft other modules, can get the results correctly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:29285
    • 提供者:陈敏
  1. a1

    0下载:
  2. i included the coding for the ofdm modem in vhdl
  3. 所属分类:Modem program

    • 发布日期:2017-05-11
    • 文件大小:2742280
    • 提供者:anuprasanth
  1. OFDMCDA8ofdm(FPGA)

    0下载:
  2. 毕设论文OFDM用FPGA实现的VHDL代码-Complete set of papers OFDM using FPGA VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1553754
    • 提供者:赵晶
  1. ofdm

    0下载:
  2. vhdl code for inverse fourier transform
  3. 所属分类:Modem program

    • 发布日期:2017-11-26
    • 文件大小:1300
    • 提供者:nancy
  1. FPGA-based-realization-of-OFDM

    1下载:
  2. 基于FPGA的OFDM实现,用VHDL语言编写的。-FPGA-based realization of OFDM
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-05-12
    • 文件大小:2603093
    • 提供者:zenghui
  1. OFDM-system-based-on-FPGA-design

    1下载:
  2. OFDM系统发端和手段的最完美的VHDL代码-the best VHDL codes of OFDM system , including the TR and Rx
  3. 所属分类:3G develop

    • 发布日期:2017-05-16
    • 文件大小:3805550
    • 提供者:snowman
  1. qw174

    0下载:
  2. A one-dimensional transfer matrix method to calculate the phonon crystal structure, MIMO OFDM matlab simulation, For time-frequency analysis algorithm.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-27
    • 文件大小:59392
    • 提供者:fanfingsing
« 1 2 3 45 »
搜珍网 www.dssz.com