CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 分频

搜索资源列表

  1. ClockDiv

    0下载:
  2. 本程序以XILINX公司的ISE8.2为开发平台,采用VHDL为开发语言,实现了对一个时钟信号分频的功能-the procedures to XILINX ISE8.2 for the development platform VHDL used for the development of language, the right to achieve a clock frequency of the signal function
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:774440
    • 提供者:刘小军
  1. 9

    0下载:
  2. 本文介绍了两种分频系数为整数或半整数的可控分频器的设计方法。其中之一可以实现50%的奇数分频。利用VHDL语言编程,并用QUARTERS||4.0进行仿真,用 FPGA 芯片实现。 关键词:半整数,可控分频器,VHDL, FPGA
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:180529
    • 提供者:陈金豹
  1. PULSE

    0下载:
  2. 由VHDL 语言实现的数控分频 利用的是QUARTUES环境已经得到验证
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:172372
    • 提供者:df
  1. clk_div

    0下载:
  2. vhdl语言描述分频器,实现2、4、8、16……分频,经过实践
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:36341
    • 提供者:石仁利
  1. S4_FENGPING

    0下载:
  2. 这是一个用VHDL语言写的分频程序,可用得着
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:131380
    • 提供者:张侨
  1. div3

    0下载:
  2. VHDL实现50%占空比。并且是奇数分频。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:118256
    • 提供者:skylinnan
  1. dividefre

    0下载:
  2. CPLD_EPM7064程序,运用计数器实现的分频程序,VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:127056
    • 提供者:flyingfish521
  1. any_frequency_VHDL

    0下载:
  2. 任意整数分频器的vhdl源程序,放心使用. 无版权问题,欢迎copy.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1152
    • 提供者:大鲁
  1. expt53_dvf

    0下载:
  2. 基于fpga和sopc的用VHDL语言编写的EDA数控分频器
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:39274
    • 提供者:多幅撒
  1. frequent

    0下载:
  2. 基于vhdl的数控分频器设计的源代码及仿真
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:100224
    • 提供者:hlj1232123
  1. div

    0下载:
  2. VHDL任意整数分频程序,只要讲n换成需要的数字就可以了!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:980
    • 提供者:horse
  1. vhdl1

    0下载:
  2. VHDL经典案例源码 有至少20个经典案例,如:自动售货机,分频器
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:169548
    • 提供者:卢卢
  1. one

    0下载:
  2. 用VHDL写成的一个数控分频程序.本例中把64HZ分成1HZ
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:122136
    • 提供者:wufeng
  1. Microsoft

    0下载:
  2. 基于VHDL的分频器设计,这是源码希望对大家有用。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2953
    • 提供者:sun
  1. DDS_vhdl

    0下载:
  2. 实现任意小数分频的VHDL源代码,我自己写的,仿真结果是正确的,希望对大家有用!我是打算将400M的时钟分为57.344M
  3. 所属分类:行业应用软件

    • 发布日期:2008-10-13
    • 文件大小:507042
    • 提供者:姚文武
  1. div3

    0下载:
  2. 用VHDL硬件描述语言实现的良好运行的三分频电路
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1050
    • 提供者:赵杰
  1. DIVIDEFREQUCE

    0下载:
  2. 使用VHDL语言写的一些奇次和偶次分频源程序,在使用CPLD/FPGA的过程中有一定的参考价值
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1494
    • 提供者:王桥国
  1. clk_2div

    0下载:
  2. vhdl语言编写的2分频器代码,简单易懂
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:924
    • 提供者:张昆
  1. asdf

    0下载:
  2. EDA常用计数函数VHDL程序设计,基于VHDL的交通灯设计实例&分频器
  3. 所属分类:软件工程

    • 发布日期:2014-01-18
    • 文件大小:665385
    • 提供者:lzh
  1. division5

    0下载:
  2. 5倍分频的vhdl代码,经验证此代码是正确的,并且已经使用。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1048
    • 提供者:谢白玉
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 27 »
搜珍网 www.dssz.com