CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 分频

搜索资源列表

  1. Fibonacci

    0下载:
  2. (1) clkdiv 模块:对50MHz 系统时钟 进行分频,分别得到190Hz,3Hz 信号。190Hz 信号用于动态扫描模块位选信号,3Hz 信号用于fib 模块。 (2) fib 模块:依据实验原理所述Fibonacci 数列原理,用VHDL 语言实现数列 (3) binbcd14:实现二进制码到BCD 码的转换,用于数码管显示。 (4) x7segbc:采用动态扫描,使用4 位数码管依次显示Fibonacci 数列数据。 实验采用3Hz 频率来产生Fibonacci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:667644
    • 提供者:panda
  1. count

    0下载:
  2. 本实验利用VHDL 硬件描述语言设计一个0~9999 的加法计数器。根据一定频率的触发 时钟,计数器进行加计数,并利用数码管进行显示,当计数到9999 时,从0 开始重新计数。 SW0 为复位开关。当开关拨至高点平时,计数器归0,当开关拨至低电平时,计数器开始计数。 该电路包括分频电路,计数器电路,二进制转BCD 码电路和数码管显示电路。-This experiment uses VHDL hardware descr iption language to design a 0 ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:475404
    • 提供者:panda
  1. VHD-L-QUARTUS--Counter

    0下载:
  2. 基于QUARTUS软件的VHDL语言开发,文件中含有VHDL语言设计的分频器,加法减法计数器,并生成有原理图,只要有QUARTUS软件即可仿真运行。-VHDL QUARTUS Counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11118607
    • 提供者:STAR LEE
  1. traffic_control1

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-06
    • 文件大小:602074
    • 提供者:Cherry_RF
  1. FPGA-Traffic-Light-Controller

    0下载:
  2. (1) 学习和掌握了解分频电路、通用同步计数器、异步计数器的使用方法; (2) 理解Moore和Mealy两种状态机的一般编程方法,能够按工程控制需求设计相应的逻辑和时序控制程序。 以开发板上的六盏LED小灯模拟,三盏小灯模拟一个方向的红黄绿交通灯灯,用VHDL语言编程实现红绿交通灯控制程序。 -(1) to learn and master the understanding of frequency division circuit, universal synchronous
  3. 所属分类:assembly language

    • 发布日期:2017-05-05
    • 文件大小:64454
    • 提供者:Cherry_RF
  1. freq_divider7

    0下载:
  2. 本程序为七分频数字电路的实现,采用VHDL语言编程,采用常见的奇数次分频方法实现,进仿真证实可用。其他奇数次可以直接修改程序中相关参数值即可直接移植引用-This procedure is the seventh-frequency digital circuits implemented using VHDL language programming, using a common method to achieve the odd division into simulation confi
  3. 所属分类:HardWare Design

    • 发布日期:2017-04-12
    • 文件大小:522
    • 提供者:LHX
  1. 25mto8k

    0下载:
  2. fpga编码,vhdl,将25m信号分频为8k信号,已仿真验证-fpga 25m to 8k
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:777
    • 提供者:
  1. fenpin5

    0下载:
  2. 五分频器的VHDL语言设计,改变相关参数,可得到其他分频器,便于学习VHDL语言-Five frequency divider VHDL language design, change the relevant parameters, you can get other dividers, easy to learn VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:108626
    • 提供者:XINGJINGYU
  1. epm240_example

    0下载:
  2. VHDL代码,共10个程序,分别是1分频器2状态机3计数器4拨码开关对应数码管显示5键盘及显示6键盘显示7交通灯8汉字滚动9ADC0804直流采样和显示10正弦波发生器(A total of 10 procedures, namely, 1 frequency dividers, 2 state machines, 3 counters, 4 dial switches, corresponding to digital tube display 5 keyboard and display 6
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:315392
    • 提供者:girl_lily
  1. ise

    0下载:
  2. 在ise软件上,用VHDL语言,设计的数字跑表,可以两位计数,含分频器,计数器(In the ISE software, using VHDL language digital stopwatch design, can two counts, including frequency divider, counter)
  3. 所属分类:其他

    • 发布日期:2018-05-01
    • 文件大小:762880
    • 提供者:uestczzz
  1. 基于FPGA的多路同步脉冲发生器设计1

    0下载:
  2. 采用FPGA(现场可编程门序列)编写VHDL语言设计多路同步脉冲发生器,对信号进行分频处理,实现四路信号相位相差T/16和T/8的延迟相位输出,实现的四路脉冲与传统的脉冲同步器不同,它具有高集成度,高通用性,容易调整和高可靠性等特点。(Using FPGA (field programmable gate sequence) to write VHDL language to design multi-channel synchronous pulse generator, to divide
  3. 所属分类:VHDL/FPGA/Verilog

« 1 2 ... 22 23 24 25 26 27»
搜珍网 www.dssz.com