CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 电机

搜索资源列表

  1. step_motor

    0下载:
  2. 步进电机定位控制系统VHDL程序与仿真 -Stepper motor positioning control system and simulation of VHDL procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:4707
    • 提供者:wangyuansong
  1. xiaoche_text

    0下载:
  2. 关于小车的电机驱动,是利用麦宽调制的方法实现的,是双驱动的电机,制作小车時候抖可以用到.-The motor drive on the car, Michael width modulation is the use of the method is double-driven motor, the production of cars can be used when the shaking.
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1142
    • 提供者:fly
  1. vhdl_bujindianjikongzhiqi

    1下载:
  2. vhdl编写步进电机控制器(基于FPGA)-the preparation of vhdl stepper motor controller
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:1073
    • 提供者:jiangp
  1. vhdl_zhiliudianjikongzhiqi

    0下载:
  2. 用vhdl编写的一个直流电机控制器-Vhdl prepared using a DC motor controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2424
    • 提供者:jiangp
  1. t1

    1下载:
  2. 实现电机M/T法测速的VHDL代码,只要修改cnt值大小即可修改M/T法切换的频率,当小于cnt时为T法,大于时为M法。-Motor M/T method velocimetry of the VHDL code, as long as the modified value of cnt to modify the size of M/T method of frequency switching, when cnt is less than for the T method, for M gr
  3. 所属分类:VHDL编程

    • 发布日期:2013-07-07
    • 文件大小:520
    • 提供者:tianson
  1. vhdl

    0下载:
  2. 通用寄存器,移位寄存器,简单状态机,直流电机控制器,-General registers, shift register, a simple state machine, DC motor controllers, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:17377
    • 提供者:thqchinaxy
  1. Freq_4

    1下载:
  2. 伺服电机编码器四倍频源程序,已经在工程中应用。非常有用。-it is important,it has been use in my project.i hope it is useful to everyone
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-28
    • 文件大小:180798
    • 提供者:张林
  1. URAT_VHDL

    0下载:
  2. FPGA采用模块工程文件QUARTUS II工程、ADC0809、电机控制PWM、LCD12864显示控制、UART_VHDL-FPGA module QUARTUS II project engineering documents, ADC0809, motor control PWM, LCD12864 display control, UART_VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:238303
    • 提供者:wangzhaohui
  1. vhdlandc

    0下载:
  2. 单片机c语言和CPLD vhdl语言通信的程序,单片机接键盘和显示器,cpld实现电机控制脉冲,最总实现一个稳定的3维步进电机控制系统-c language work with vhdl language in controling step motor
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:487615
    • 提供者:mft
  1. BLDCM

    2下载:
  2. 基于Verilog HDL的直流无刷电机控制程序,Quartus II环境下编写。-Verilog HDL for BLDCM Control in Quartus II。
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-27
    • 文件大小:198857
    • 提供者:琉璃
  1. BUJINDIANJI

    0下载:
  2. 步进电机控制模块,步进电机控制模块。VHDL描写的步进电机控制模块-Stepper motor control module, stepper motor control module. VHDL descr iption of the stepper motor control module
  3. 所属分类:source in ebook

    • 发布日期:2017-04-11
    • 文件大小:1052
    • 提供者:阿道夫
  1. step

    0下载:
  2. 基于Quartus开发平台的3相6拍的步进电机-Quartus development platform based on the three-phase stepper motor 6 shooting. . . . . . . . . . . . .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:452426
    • 提供者:Fantasy
  1. StepperMotorports

    0下载:
  2. 基于FPGA环境下步进电机控制模块程序实现-FPGA-based stepper motor control module Environment Program Realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:544
    • 提供者:zheshu
  1. bujinji

    1下载:
  2. 步进电机控制实验,用FPGA来控制步进电机转动的整个过程,已通过测试-Stepper motor control experiments, using FPGA to control the stepper motor rotating the whole process has been tested
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:26490
    • 提供者:胡习武
  1. motor3_and_motor4

    0下载:
  2. 程序是CPLD双计数器的程序,主要用于电机编码器计数-Program is CPLD pairs of counter procedures, mainly for motor encoder counts
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:864905
    • 提供者:王川
  1. motor_control

    0下载:
  2. 步进电机控制程序,用vhdl实现。可实现电机的正反转控制-Stepper motor control program, using vhdl implementation. Positive inversion of motor control can be realized
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:688
    • 提供者:haibo
  1. direct_moto

    1下载:
  2. 基于FPGA的直流电机驱动,有32级速度选择,正反转和使能端。在硬件测试通过,效果良好-FPGA-based DC motor drive, there are 32 speed options, positive inversion, and enable end. On the hardware test results were very good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:393583
    • 提供者:wdw
  1. step_moto

    0下载:
  2. 实现步进电机的细分驱动和不细分驱动及选择。正反转,工作使能控制,在开发板上测试过,工作良好-Stepper motor to achieve sub-drive and do not subdivided driving and choice. Positive inversion, work to enable control board in the development of tested, working good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:395916
    • 提供者:wdw
  1. OpCtrl

    1下载:
  2. 步进电机的转动控制程序,可用于变速,和编码器混合使用-Stepper motor rotation control program can be used for variable speed, and mixed use encoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1440
    • 提供者:强强
  1. elevator

    0下载:
  2. 设计任务和基本要求: (1)系统控制的电梯往返于1-9层楼。 (2)乘客要去的楼层数可手动输入并显示(设为A数)。 (3)电梯运行的楼层数可自动显示(设为B数)。 (4)当A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; (5)梯是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电梯上升或下降到乘客所在楼层的控制开
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:11246
    • 提供者:chengwei
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com