CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 电机

搜索资源列表

  1. DCmotor

    0下载:
  2. 给出了具体的基于FPGA的直流电机PWM控制VHDL程序,可用于毕业设计。-Given a specific FPGA-based DC motor PWM control VHDL program can be used to graduation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3188795
    • 提供者:张三
  1. cpld

    0下载:
  2. CPLD 语言VHDL,实现对电机位置信号检测和输出驱动-CPLD language VHDL, to realize the motor position signal detection and output drive
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1702
    • 提供者:杭舟
  1. bujinconrrol

    0下载:
  2. 步进电机定位控制系统,VHDL程序,里面有注释-Stepper motor position control system, VHDL program, there are comments
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1026
    • 提供者:lkt
  1. allworkz

    0下载:
  2. 有LCD+按键+反馈控制。用VHDL写的一个,直流电机控制程序。其中,里面的很多小程序可以用来做例程。使用的软件是quartus7.2。-With LCD+ buttons+ feedback control. Written by a VHDL, DC motor control program. Among them, there are many small programs can be used to do routines. Use of the software is quartu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:119972
    • 提供者:张龙
  1. cpld_vhdl

    0下载:
  2. 其内收藏了一些用vhdl写成的硬件逻辑语言,主要有出租车计价器,电子时钟,自动售货机,以及步进电机定位控制系统等。-The collections of a number of hardware logic with VHDL written language, there are taxi meter, electronic clocks, vending machines, and stepper motor positioning control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:321291
    • 提供者:赵鑫
  1. state_machine

    1下载:
  2. 基于FPGA用VHDL编写的状态机控制步进电机.-Prepared by the state machine control VERILOG stepper motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:288390
    • 提供者:island
  1. state-machine-code

    0下载:
  2. 用Altera Quartus II 的VHDL语言完成的状态机控制步进电机的程序员代码-The use of Altera Quartus II VHDL language to complete the state machine code programmer stepper motor control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:274479
    • 提供者:nanana
  1. FPGA27Instance

    0下载:
  2. LCD控制、FSK PSK等调制解调、出租车计价器、电梯控制、步进电机控制、自动售货机的VHDL程序-The control of LCD and ASK FSK make the demodulation, baseband code occurrence machine, frequency meter, electronic organ and electric lift control, automat and rent a car to account VHDL procedure c
  3. 所属分类:DSP program

    • 发布日期:2017-04-10
    • 文件大小:1276731
    • 提供者:于洪民
  1. state_machine

    0下载:
  2. 步进电机的vhdl驱动程序 能实现正传翻转登功能 -Vhdl stepper motor driver board flip function to achieve Story
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1083
    • 提供者:刘洋
  1. motor_sm

    0下载:
  2. 此程序为步进电机的VHDL程序,可以实现对步进电机的控制-This procedure for stepper motor VHDL program can control the stepper motor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:907
    • 提供者:zoumo
  1. ep2c35_4_9_motor

    0下载:
  2. FPGA的电机控制程序,可对电机进行PWM的控制-it is writen by VHDL,the program generate PWMs to control the motor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:82846
    • 提供者:Nevin Young
  1. motor_PWM

    0下载:
  2. 直流减速电机控制程序,采用VHDL语言编写,测试成功-DC gear motor control program, using the VHDL language, the test is successful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:989
    • 提供者:郭程
  1. DC-motor-controller-and-its-control

    0下载:
  2. 基于VHDL语言的直流电机控制器及其控制,本控制系统的总体结构,下位机是整个高频疲劳试验机控制器的核心。用于实现产生控制试验机的控制信号和数据,反馈信号的处理,以及和上位机进行数据通信。其控制功能强弱也直接影响着整个控制器性能的好坏-DC Motor Based on VHDL controller and its control, the overall structure of the control system, the next bit machine is the high-freq
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2566
    • 提供者:moyeo
  1. huanxingfenpeiqi

    0下载:
  2. 步进电机的环形分配器,VHDL文件源码,经编译全通过,没有仿真,-Annular distributor of the stepper motor, VHDL file source, compile the whole through, there is no simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:583
    • 提供者:海浪滔天
  1. Sstep_motort

    0下载:
  2. 用FPGA控制步进电电机,描述语言为VHDL -FPGA to control the stepper motor, descr iption language VHDL
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:1091
    • 提供者:包裹
  1. Radar-on-FPGA

    0下载:
  2. 主要论述了基于FPGA的末制导雷达伺服系统设计。结合末制导雷达讨论其电机控制、二阶伺服系统性能和PID校正算法,利用VHDL语言设计,实现基于FPGA的方位步进电机开环定位控制和俯仰直流电机闭环速度控制的伺服系统。结合实际应用中遇到的问题,提出了基于"反馈控制"理论的有效的补偿算法,该算法提高了伺服系统的稳定性、快速性和精度。-Mainly discusses the design of terminal guidance radar servo system based on Field Pr
  3. 所属分类:Project Design

    • 发布日期:2017-04-09
    • 文件大小:1138166
    • 提供者:mabeibei
  1. motor

    0下载:
  2. 用VHDL语言控制直流电机的工作(4路输入)-VHDL language to control the DC motor (4 inputs)
  3. 所属分类:Other systems

    • 发布日期:2017-05-04
    • 文件大小:1313252
    • 提供者:张喆
  1. Vsteepper_motH

    0下载:
  2. 步进电机 VHDL 控制,整步 半半步 细分 actel FPGA使用 -VHDL control of stepper motor, whole step, half half step segments actel FPGA use
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-24
    • 文件大小:1191320
    • 提供者:cpdcoder
  1. motorkongzhi

    0下载:
  2. 步进电机控制,vhdl程序,好用的程序,毕设可用-Stepper motor control, vhdl program, easy to use program, and is set to complete the available
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:2208072
    • 提供者:权利红
  1. Ssteep_mottort

    0下载:
  2. 步进电机定位控制系统VHDL程序源码,能进行步进角的的倍数设定,激磁方式的选择 -Stepper motor positioning control system procedures and VHDL source code can be multiples of the step angle setting, exciting way choice
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-17
    • 文件大小:4663
    • 提供者:molingrang
« 1 2 3 4 5 6 7 89 10 »
搜珍网 www.dssz.com