CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 通信

搜索资源列表

  1. uart from opencores

    0下载:
  2. 用VHDL实现串口 可以实现与pc机的通信 收发 中断都可以 效果比较好-VHDL implement serial port, it can communicate with pc, it can accept and send message, and it can be interrupted.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:9254
    • 提供者:熊明
  1. Max232ForHLD3(20040913)(OK)

    0下载:
  2. 基于fpga和xinlinx ise的串行通信vhdl程序,希望对你有所帮助!-xinlinx and ideally serial communications VHDL process, and I hope to help you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:463858
    • 提供者:王萌
  1. 数字锁相环设计源程序

    3下载:
  2. PLL是数字锁相环设计源程序, 其中, Fi是输入频率(接收数据), 数字锁相技术在通信领域应用非常广泛,本例用VHDL描述了一个锁相环作为参考,源码已经调试过。编译器synplicty.Fo(Q5)是本地输出频率. 目的是从输入数据中提取时钟信号(Q5), 其频率与数据速率一致, 时钟上升沿锁定在数据的上升和下降沿上;顶层文件是PLL.GDF-digital phase-locked loop PLL design source, in which Fi is the input freque
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:121399
    • 提供者:杰轩
  1. mcs_51_cpld

    0下载:
  2. 程序主要用硬件描述语言(VHDL)实现: 单片机与FPGA接口通信的问题-procedures major hardware descr iption language (VHDL) to achieve : MCU and FPGA interface communication problems
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:151088
    • 提供者:刘赛
  1. baud

    0下载:
  2. vhdl 很好用于串行通信. 三个模快,发生时钟,发送和 接收过程-VHDL good for serial communication. Three die fast, occurred clock, sending and receiving process
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:125471
    • 提供者:刘三
  1. I2C_IPcore_VHDL

    0下载:
  2. 这是一个I2C串行数据通信协议以VHDL硬件描述语言实现的IP核,可直接编译运行-I2C serial data communication protocol to VHDL hardware descr iption language of the IP core can be directly translated Operation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6356
    • 提供者:陈州徽
  1. 8bitsine

    0下载:
  2. 8bit采样sine波形发生器,一共两个文件,各自用VHDL和VERILOG编写,通信开发平台专用-8bit sampling sine wave generator, a total of two papers, each with VHDL and VERILOG preparation, communications development platform dedicated
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5161
    • 提供者:王刚
  1. PL_FSK

    0下载:
  2. 数字通信系统通信系统调制解调(PL_FSK)VHDL建模,包括发送和接受模块-Digital Communication System Communication System modulation and demodulation (PL_FSK) VHDL modeling, including sending and receiving modules
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:187851
    • 提供者:万金油
  1. gongchengsheji-477

    0下载:
  2. 基于logmap算法的vhdl的实现。 通信系统的log—map算法数字vhdl的实现-logmap algorithm based on the achievement of VHDL. The communication system log-map algorithm to achieve the number of VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:21964
    • 提供者:李超
  1. yibutongxin

    0下载:
  2. 本程序是用VHDL语言实现异步通信控制器, hao1.vhd为主程序,hao1.scf为仿真波形-this procedure is used VHDL asynchronous communication controller, mainly hao1.vhd procedures, hao1.scf for simulation waveforms
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:704285
    • 提供者:陈华
  1. uart

    0下载:
  2. VHDL实现串口转换的代码,串行通信的发送器有五个状态:--1.X_IDLE(空闲)状态 : 当UART被复位后,状态机将立刻进入这一状态,在这个状态下, -- 状态机一直等待发送命令XMIT_CMD,当接收到发送命令后,状态机进入X_START状态,准备发送起始位信号 --2.X_START状态 : 在这个状态下,UART发送一个位时间宽度的逻辑'0',信号至TXD,即 -- 起始位,紧接着状态机进入X_SHIFT状态,发一位数据 --3.X_WAIT状态 : 当状态机处于这一个状态时
  3. 所属分类:VHDL/FPGA/Verilog

  1. FPGA与SPI接口程序(hdl源代码)

    1下载:
  2. FPGA,VERILOG,SPI串口通信;(FPGA,VERILOG,SPI;;;;;;;;;)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:2048
    • 提供者:dl121
  1. VHDL_SPISLAVE

    0下载:
  2. spi-slave通信的vhdl实现及其仿真(VHDL implementation of spi-slave communication)
  3. 所属分类:VHDL/FPGA/Verilog

  1. VHDL源码

    0下载:
  2. 实现数字调制系统仿真,对QPSK调制系统的误码率仿真方法(digital modulation simulation)
  3. 所属分类:通讯编程

    • 发布日期:2018-01-09
    • 文件大小:2397184
    • 提供者:wagmh
  1. 异步通信起始位正确检测的VHDL实现

    0下载:
  2. 异步通信起始位正确检测的VHDL实现,辛苦转载。
  3. 所属分类:软件工程

  1. uart_design

    0下载:
  2. UART设计的VERILOG代码,具有FIFO功能,能实现CPU与外设之间的数据与指令通信(The VERILOG code designed by UART, which has the function of FIFO, can realize the communication between the data and the instruction between the CPU and the peripherals)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:547840
    • 提供者:沐羽1996
  1. 方案二

    0下载:
  2. 本系统是基于CPLD和单片机的一种用于信号频率周期、时间间隔和占空比测量的数字频率计,系统由AGC(自动增益控制)电路、宽带放大电路、高速比较电路实现有效值10mV/频率100MHz和处理显示部分组成,其中AGC电路实现幅度自动增益控制使放大后的信号幅度在一定的范围内保持一致,比较电路将前级电路输出的信号转换成CPLD,利用等精度测频原理,实现闸门时间1S的高精度测量。单片机通信处理数据并显示,数据表明,系统精度达到发挥部分要求。(This system is a CPLD microcontr
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:77824
    • 提供者:小竹丶
  1. 5_uart_test

    0下载:
  2. 基于xilinx的Artix7实现UART通信(UART communication based on Xilinx Artix7)
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:788480
    • 提供者:kang30
  1. 9_ethernet_1g_100M

    0下载:
  2. 基于Xilinx的Artix7实现千兆以太网的RGMII接口通信(RGMII interface communication for Gigabit Ethernet based on Xilinx Artix7)
  3. 所属分类:其他

    • 发布日期:2018-04-30
    • 文件大小:29981696
    • 提供者:kang30
  1. uart

    0下载:
  2. RS232通信程序,用于实现PC端与FPGA之间实现串口通信(RS232 communication program for realizing serial port communication between PC and FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:137216
    • 提供者:.00
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 26 »
搜珍网 www.dssz.com