CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 通信

搜索资源列表

  1. SLX_3_REC_TEST

    0下载:
  2. 基于vhdl的FPGA上实现串口通信的功能,本例为串口接受功能-Based on the realization of serial communication function vhdl the FPGA, in this case, the serial acceptance function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4834534
    • 提供者:beyondall_zhao
  1. SPI

    0下载:
  2. VHDL SPI 用于实现SPI通信回传,方便大家学习交流,谢谢各位网友支持
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:101925
    • 提供者:赵安东
  1. UART

    0下载:
  2. 用VHDL书写串口通信源码,在fpga上验证过-Serial communication with VHDL source code written in the fpga verified
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3498
    • 提供者:王三木
  1. UART_FPGA

    0下载:
  2. 此vhdl程序实现了在FPGA上构建UART通信串口。分为两部分,UART的发送端transfer和接收端receiver。需要外部根据需求提供波特率时钟。-This program implements the building vhdl UART serial interface on the FPGA. Divided into two parts, UART transfer sender and receiver receiver. Required to provide the ba
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4183
    • 提供者:lmy
  1. two_ADF4350_vhdl_code

    0下载:
  2. 该程序实现:控制两个ADF4350的VHDL程序;多个选通信号的编码。-The realization of the program control: two ADF4350 VHDL program a plurality of gate signal coding.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4098
    • 提供者:王乔
  1. Synchronization_with_MATLAB_and_FPGA

    0下载:
  2. 数字通信同步技术的MATLAB与FPGA实现一书的VHDL代码-The VHDL code for the book of digital communication techniques with MATLAB and FPGA realization
  3. 所属分类:Post-TeleCom sofeware systems

    • 发布日期:2017-06-02
    • 文件大小:14424099
    • 提供者:queen
  1. gold_code_generator_rank10_b

    0下载:
  2. 通信扩频码GOLD码序列的产生,码长度可以手动设置,VHDL语音实现。-GOLD generate communication code sequence spreading code, the code length can be set manually, VHDL voice implementation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2415
    • 提供者:散散
  1. URAT-

    0下载:
  2. 异步串行通信接口UART的VHDL程序实现-Asynchronous serial communication interface UART VHDL program realization
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:32331
    • 提供者:zj
  1. MATLAB-and-FPGA

    0下载:
  2. 以Xilinx公司的FPGA为开发平台,采用MATLAB及VHDL语言为开发工具,详细阐述数字通信同步技术的FPGA实现原理、结构、方法以及仿真测试过程-In Xilinx s FPGA development platform, using MATLAB and VHDL language development tools, elaborated synchronous digital communications technology FPGA implementation princip
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19501694
    • 提供者:C
  1. uart_mod

    0下载:
  2. 与上位机通信的串口驱动程序,基于VHDL语言-uart module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2758
    • 提供者:宋振伟
  1. DSSS

    1下载:
  2. 用VHDL实现基于Xilinx的FPGA上的直接序列扩频通信,并且附带了matlab仿真程序。-VHDL implementation based on direct sequence spread spectrum communication on Xilinx' s FPGA, and comes with matlab simulation program.
  3. 所属分类:source in ebook

    • 发布日期:2017-05-29
    • 文件大小:11402102
    • 提供者:Alex
  1. FPGA-auto-car-and-arm

    0下载:
  2. VHDL Verilog编写,实现无线串口通信遥控4自由度机械臂和车身行动驱动。串口命令格式和舵机参数可根据实际需要自行调整-Verilog VHDL prepared to achieve a wireless serial communication remote control 4 degrees of freedom manipulator and body action. Serial command format and actuator parameters can be adju
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4099899
    • 提供者:轻语
  1. chuanxing

    0下载:
  2. VHDL的串行通信程序,硬件描述语言,使用xilinx ISE软件-VHDL serial communication program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:459402
    • 提供者:wkl
  1. URAT

    0下载:
  2. 在ISE环境下,用VHDL语言实现RS232串口设计,实现串口通信。通过串口调试工具向 0000000UART发送16进制数,FPGA将UART接收到的串行数据转换为并行数据,并在8个 LED灯上输出显示;同时,并行数据又被重新转换为串行数据,重新送给RS-232接口,并在 串口调试工具上再次显示,SW0为复位键。 比如:串口调试工具发送两位16进制数,然后能在LED上显示,并且重新在串口调试工 具上显示。串口调试工具设置:波特率设为9600,默认奇校验。-In the IS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:403088
    • 提供者:panda
  1. chuankou

    0下载:
  2. 用VHDL语言实现发送一帧10bit,波特率为4800的串口通信控制器。-serial port
  3. 所属分类:File Formats

    • 发布日期:2017-05-04
    • 文件大小:13094
    • 提供者:liqian
  1. pc_fpga_com_latest.tar

    0下载:
  2. 用VHDL实现的PC与FPGA之间的网络通信,通过以太网进行通信-comunicate between PC and FPGA via ethernet
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:186937
    • 提供者:周靖昆
  1. kehshechenxu

    1下载:
  2. 编制一全双工UART电路,通过试验箱MAX202E转换成RS232电平,与计算机进行通讯实验,设置8个按键,按键值为ASIC码“1”~“8”,通过串口发送给计算机,在计算机上显示键值,同时在数码管最高位显示;计算机可发送“0”~“F”的ASIC码,FPGA接收后在数码管低位显示0~F。通过按键可设置波特率。 要求:波特率为三种 1200、2400、9600,由1个按键选择,3个LED分别指示; 数据格式为1位起始位、8位数据位和一位停止位; 上位计算机发送接收软件可使用
  3. 所属分类:其他

  1. RS422

    0下载:
  2. 基于vhdl开发的指令发送程序,实现RS422通信功能(instruction sending based on VHDL development)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:2114560
    • 提供者:路口射手
  1. HDB3

    0下载:
  2. 按照要求对“数字基带信号HDB3译码器设计与建模”进行逻辑分析,了解HDB3译码器译码原理,了解各模块电路的逻辑功能,设计通信系统框图,画出实现电路原理图,编写VHDL语言程序,上机调试、仿真,记录实验结果波形,对实验结果进行分析。(In accordance with the requirements of the logical analysis of the design and modeling of the digital baseband signal HDB3 decoder, H
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:8990720
    • 提供者:Remrinrin
  1. rs232

    1下载:
  2. 使用VHDL语言在vivado平台上编的串口通信的完整工程,并能用EGO1开发板成功验证(The complete project of serial communication is compiled on the vivado platform using VHDL language, and it can be successfully verified with the EGO1 development board.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-02-04
    • 文件大小:697344
    • 提供者:vmansus
« 1 2 ... 20 21 22 23 24 2526 »
搜珍网 www.dssz.com