CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL Generator

搜索资源列表

  1. VHDL-waveform-generator

    0下载:
  2. 基于VHDL语言的任意波形发生器,它是一份不错的参考文献-Arbitrary waveform generator based on VHDL, it is a good reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:107379
    • 提供者:zhaochuanrong
  1. Digital-base-band-signal-generator

    0下载:
  2. 基于FPGA的数字基带码的设计.数字基带码;EDA;VHDL;PCB;FPGA-Based on FPGA Digital base-band signal generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:4138
    • 提供者:叶脉
  1. generator

    0下载:
  2. generator of functions for vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:556
    • 提供者:miguel
  1. songer

    0下载:
  2. VHDL的音频发生器设计!!很实用的哦,在现在的数字时代起着重要的作用-VHDL design of the audio generator! ! Oh, very practical, in the present play an important role in the digital age
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2918
    • 提供者:quanguoxiang
  1. VHDL-simple-examples

    1下载:
  2. 上传的几个VHDL程序:分别是各种功能计数器;使用列举类型的状态机,四D触发器,通用寄存器,伪随机比特发生器,简单的状态机。-Upload several VHDL program: are the various functions of the counter using the enumerated type state machine, four D flip-flop, the general-purpose registers, pseudo-random bit generato
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-29
    • 文件大小:5013
    • 提供者:张俊
  1. waveform-signal-generator

    0下载:
  2. 基于FPGA的波形信号发生器的设计和实现,利用VHDL语言实现正弦波三角波等波形信号输出-The waveform signal generator based on FPGA design and implementation, using VHDL language to realize sine wave triangular wave and waveform signal output
  3. 所属分类:Project Design

    • 发布日期:2017-11-10
    • 文件大小:2051905
    • 提供者:ocpuy
  1. VHDL-music-generator-report-code

    0下载:
  2. VHDL实现音乐发生器,并进行FPGA验证!报告中含有各模块详细代码,和仿真波形!-VHDL music generator and FPGA verification! The report contains a detailed code of each module, and the simulation waveform!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:76895
    • 提供者:一个好人
  1. Multi-function-waveform-generator

    0下载:
  2. 本系统应用VHDL语言及MAX+PLUS II仿真软件利用自顶向下的设计思想进行设计,结合示波器加以完成一个可应用于数字系统开发或实验时做输入脉冲信号或基准脉冲信号用的信号发生器,它具结构紧凑,性能稳定,设计结构灵活,方便进行多功能组合的特点,经济实用,成本低廉。具有产生四种基本波形脉冲信号(方波、三角波、锯齿波和正弦波),且脉冲信号输出幅度及输出频率可调,对于方波信号,还可以实现占空比可调。通过软件仿真和硬件测试都得到了预期的结果。-The system using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1485546
    • 提供者:xinxing
  1. sine-function-generator-by-VHDL

    0下载:
  2. 1、本实验模拟正弦函数发生器 2、使用逻辑分析仪查看波形 3、/proj/simulation目录中可以在modelsim中仿真-1, this experiment simulated sine function generator 2, the use of logic analyzer to check waveform 3,/proj/simulation directory in the modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-12
    • 文件大小:27080859
    • 提供者:朱岩
  1. Waveform-generator

    0下载:
  2. 波形发生器(含test beach)VHDL语言编写-Waveform generator (including test beach) VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-07
    • 文件大小:964
    • 提供者:邹德超
  1. VHDL-Cookbook

    0下载:
  2. core generator vhdl book
  3. 所属分类:VHDL-FPGA-Verilog

  1. VHDL-to-design-detector

    0下载:
  2. 用VHDL语言设计一个序列“111010”的检测器和该序列的发生器-VHDL language " 111010" to design a sequence detector and the sequence generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:900
    • 提供者:赵玉著
  1. signal-generator

    0下载:
  2. Design of DDS signal generator based on VHDL+FPGA, has been through the adjustable, can be directly used, simulation -DDS signal generator circuit design, Verilog source code, can be directly used, simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:2070174
    • 提供者:李静璐
  1. VGA-color-signal-generator

    0下载:
  2. 1. 了解普通显示器正确显示的时序。 2. 了解VHDL产生VGA显示时序的方法。 3. 进一步加强对FPGA的认识。 -1. Learn ordinary display correctly display timing. 2. Learn VHDL VGA display timing generation method. 3. Further enhance the understanding of the FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:1407194
    • 提供者:于治成
  1. VHDL-book3

    0下载:
  2. D_flipflop:1位D触发器的设计 D_fllipflop_behav:4位D触发器的设计 reg1bit:1位寄存器设计 reg4bit:4位寄存器设计 shiftreg4:一般移位寄存器的设计 ring_shiftreg4:环型移位寄存器的设计 debounce4:消抖电路的设计 clock_pulse:时钟脉冲电路的设计 count3bit_gate:3位计数器的设计 count3bit_behav:3位计数器的设计 mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9017647
    • 提供者:贾诩
  1. VHDL

    0下载:
  2. 本程序包换一个游戏程序和各种功能的计数器和加法器源程序及波形发生器的代码程序,适合初学者使用-This program replacement a game program and a variety of functions counters and adders and waveform generator source code procedures, suitable for beginners
  3. 所属分类:assembly language

    • 发布日期:2017-04-07
    • 文件大小:2450
    • 提供者:xuliyue
  1. VHDL

    0下载:
  2. VHDL小程序,其中包含了bcd码转换成格雷码、寄存器的简单设计(并入串出移位寄存器、串入串出移位寄存器)以及脉冲发生器的VHDL实现。适合于基础的VHDL入门。-VHDL small program, which includes a bcd code into Gray code, register for a simple design (String into a shift register, the string into the string out of the shift re
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:304120
    • 提供者:鸿雨
  1. baker-code-generator

    0下载:
  2. 巴克码发生器,VHDL语言描述,可以在quartus II上运行,基于altera-baker code generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:293502
    • 提供者:sddxzq
  1. vhdl

    0下载:
  2. 实现信号发生器的vhdl教学代码,提供了串口的功能和发生波形的功能,-Realization of the signal generator vhdl code for teaching
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:532971
    • 提供者:周一
  1. 2.1.5P4-Adder-VHDL-and-Waveform

    0下载:
  2. p4_adder 奔腾4cpu的加法器,包括carry selectadder carry generator -p4_adder Pentium 4cpu adder includes carry selectadder carry generator
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-25
    • 文件大小:69089
    • 提供者:young
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 24 »
搜珍网 www.dssz.com