CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL Generator

搜索资源列表

  1. CRC-Generator-for-Verilog-or-VHDL

    0下载:
  2. CRC Generator for Verilog or VHDL-CRC Generator for Verilog or VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2694
    • 提供者:wz
  1. VHDL-based-design-of-SPI

    0下载:
  2. 基于VHDL的串行同步通信SPI设计 本设计是用Quartus作为开发环境,以DE2板为硬件平台实现的SPI同步串行通讯。设计过程方便。根据接收和发送两个主要部分实现了SPI的基本功能。此外,该设计还实现了波特率发生器,数码管显示的功能。用DE2板实现具有电路简洁,开发周期短的优点。充分利用了EDA设计的优点。开发过程用了VHDL硬件描述语言进行描述,从底层设计,分模块进行,充分提高了设计者的数字逻辑设计的概念。-VHDL-based SPI serial synchronous comm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:51321
    • 提供者:陈添
  1. Function-Generator

    0下载:
  2. 函数发生器,VHDL的综合实验 可以产生不同的函数,并将它输出。-Function generator, VHDL comprehensive experiment can have different functions, and output it.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2827104
    • 提供者:janesday
  1. convolution

    0下载:
  2. convolution卷积码生成器程序设计及仿真源代码-convolution convolutional code generator source code of program design and simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:152318
    • 提供者:ant
  1. PCK_CRC3_D4

    0下载:
  2. CRC校验码生存程序 校验序列码生成多项式: X16+X13+X12+X11+X10+X8+X6+X5+X2+1 输入数据为16个字节(128位),输出16bit校验序列-CRC, the survival program check sequence code generator polynomial: X16+ X13+ X12+ X11+ X10+ X8+ X6+ X5+ X2+1 input data is 16 bytes (128 bits), output 16bit
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-23
    • 文件大小:800
    • 提供者:weixin
  1. VHDL

    0下载:
  2. VHDL程序(含任意波发生器,一些芯片的驱动,以及状态机的典型设计等)-VHDL program (including arbitrary waveform generator, a number of driver chips, and a typical state machine design, etc.)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1284261
    • 提供者:左会刚
  1. vhdl

    0下载:
  2. 8421BCD码同步计数器,序列信号发生器,状态机设计-8421BCD code synchronization counter, serial signal generator, the state machine design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2342
    • 提供者:邢菲
  1. SG_FPGA

    0下载:
  2. 2006年电子设计竞赛二等奖,多功能函数、信号发生器核心器件FPGA内部的原理图,主要模块用VHDL代码描述,包括PLL、相位累加器、波形算法和正弦波查找表,可实现0.005Hz~20MHz的多波形信号产生,频率步进值0.005,输出接100MSPS速率的DAC--AD9762-Electronic Design Competition 2006, second prize, multi-function signal generator within the core of the devic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099880
    • 提供者:zlz
  1. dds

    0下载:
  2. 基于vhdl的dds信号发生器,可产生方波,三角波,正弦波,幅度,频率,相位可调-The signal generator based on VHDL DDS, can produce square wave, triangle wave, sine wave, amplitude, frequency, phase can be adjusted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1627883
    • 提供者:
  1. wave-generator(vhdL0

    0下载:
  2. 10章 波形信号发生器 vhdl波形发生器很有学习价值-Waveform signal generator VHDL is learning value waveform generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:51907
    • 提供者:wlb
  1. songer

    0下载:
  2. 著名歌曲《十送红军》音乐发生器在FPGA上实现-The famous song " Shisonghongjun" music generator in the FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4539
    • 提供者:longjiezhong
  1. Signal-Generator-VHDL

    0下载:
  2. 这是基于quartus dds信号发生器设计的源程序-This is based on quartus dds source signal generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:135379
    • 提供者:石浪
  1. Verilog-hdlFPGA

    0下载:
  2. 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1181353
    • 提供者:chenfeihu
  1. FPGA-M-sequence-generator

    1下载:
  2. FPGA VHDL 语言M序列发生器,可以帮助各位需要的朋友探讨研究-FPGA VHDL language M-sequence generator, can you help a friend in need of research
  3. 所属分类:VHDL编程

    • 发布日期:2013-04-08
    • 文件大小:400903
    • 提供者:38578720
  1. DDS

    0下载:
  2. verilogHDL语言编写,带测试文件DDS波形发生器.-DDS waveform generator, verilogHDL language, with the test file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1282178
    • 提供者:周舟
  1. Baseband-code-generator-program

    0下载:
  2. 基带码发生器 功能:基于VHDL硬件描述语言,产生常用基带码-Baseband code generator program use IEEE.STD_LOGIC_1164.ALL use IEEE.STD_LOGIC_ARITH.ALL use IEEE.STD_LOGIC_UNSIGNED.ALL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:4610
    • 提供者:
  1. vhdl-code-for-sine-wave-generator

    0下载:
  2. it is a simple code in vhdl for sine wave generator. the test bench code is also provided in ths code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:21560
    • 提供者:nasimus
  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
  1. VHDL-design-example

    0下载:
  2. 用VHDL设计数字系统实例,VHDL写的一些实例,如波形发生器等-Using VHDL to design digital system examples, written in VHDL some examples, such as waveform generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:458285
    • 提供者:sunny
  1. vhdl

    0下载:
  2. VHDL实验报告 基于ROM的正弦波发生器的设计-VHDL experiment reports the ROM-based sine wave generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2133
    • 提供者:天行者
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 24 »
搜珍网 www.dssz.com