CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL LCD

搜索资源列表

  1. VGA-LCD

    0下载:
  2. 采用VHDL编写的VGA LCD显示。经过了调试仿真,在FPGA芯片上下载成功,并得到了预期效果-Written by VHDL VGA LCD display. After a debugging emulator, FPGA chip in the download is successful, and get the desired effect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:659620
    • 提供者:lidejjj
  1. LCD_CLOCK

    1下载:
  2. 用1602液晶显示的数字电子钟,并且可以用按键开关调整时间,日期,星期。-1602 LCD display with digital electronic clock, and the key switch can be used to adjust the time, date, week.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3165109
    • 提供者:周航
  1. lcd_at_nios_nii_part

    0下载:
  2. 彩屏液晶在FPGA使用,硬件描述语言写的,速度快-Color LCD used in the FPGA, hardware descr iption language writing speed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:14175
    • 提供者:业永坤
  1. LCD

    0下载:
  2. EP2C8Q208_Quartus_V8.0 基于FPGA实现LCD VHDL代码-EP2C8Q208_Quartus_V8.0 FPGA-based implementation LCD VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:474464
    • 提供者:zkzkzk
  1. VGA-LCD

    0下载:
  2. 用Altera Quartus II 的VHDL语言来完成LCD的液晶显示汉子功能-The use of Altera Quartus II VHDL language to complete the function of LCD liquid crystal display man
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:645173
    • 提供者:nanana
  1. 1602A-VHDL

    0下载:
  2. --利用FPGA驱动LCD显示中文字符"年"的VHDL程序。 --文件名 :lcd1602.vhd。 --功能 : FGAD驱动LCD显示中文字符"年"。-- Using FPGA-driven LCD display Chinese characters " year" VHDL program.- File Name: lcd1602.vhd.- Function: FGAD drive LCD display Chinese characters " ye
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1243
    • 提供者:王达
  1. ps2-keyboard

    0下载:
  2. PS2 KEYBIARD interfacing with lcd 2X16-PS2 KEYBIARD interfacing with lcd 2X16
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1689478
    • 提供者:mahdi
  1. LCD

    0下载:
  2. 实现vhdl语言中的一系列功能和方式方法。具体的功能是lcd实现的编译和仿真。-it can do d function as lcd.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:233038
    • 提供者:路超
  1. lcd

    0下载:
  2. VHDL 写的如何操作LCD,代码很详细的,-VHDL for LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:2649
    • 提供者:123456
  1. lcd

    0下载:
  2. 基于 vhdl 的 lcd 液晶屏驱动程序-lcd vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:15531
    • 提供者:张海
  1. VGA-LCD

    0下载:
  2. vga_lcd,显示是应用较广的一种技术,是led大屏的技术基础,对于学习led大屏技术有着很大的帮助。-VGA LED LCD VHDL FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:641534
    • 提供者:
  1. lcd_ct-2

    0下载:
  2. VHDL LCD colntroller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5635
    • 提供者:Wojtek K
  1. LCD-VHDL

    0下载:
  2. LCD控制VHDL程序与仿真,我感觉挺有用的~-LCD control procedures and VHDL simulation, I feel quite used ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:4726
    • 提供者:
  1. Verilog-hdlFPGA

    0下载:
  2. 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1181353
    • 提供者:chenfeihu
  1. LCD

    0下载:
  2. VHDL-FPGA-Verilog LCD charachteri 2*16 sample program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:333798
    • 提供者:farshad
  1. LCDNTROL

    0下载:
  2. verilog/vhdl LCD水灯程序-Spartan3E开发板调试通过-verilog/vhdl LCD light program-Spartan3E water development board debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1788
    • 提供者:xxit
  1. 8.3-LCD-control-VHDL-program

    0下载:
  2. 功能:FGAD驱动LCD显示中文字符“年”。-Function: FGAD drive LCD displays in Chinese characters " years."
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4746
    • 提供者:HUANGTIANWEN
  1. LCD

    0下载:
  2. 基于fpga的vhdl设计的lcd显示的内容及其代码-the code of lcd based on fpga with vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:21611
    • 提供者:Micheal
  1. vhdllcd

    0下载:
  2. vhdllcd清零模块,实现LCD清屏归零相关功能-vhdl lcd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3161
    • 提供者:姚璐
  1. LCD

    0下载:
  2. 用VHDL语言在W90P710下编写LCD程序。在LCD上显示图片,汉字等内容。-VHDL language written in W90P710 LCD program. Pictures, such as Chinese characters displayed on the LCD.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:232234
    • 提供者:dxk
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 23 »
搜珍网 www.dssz.com