CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL LCD

搜索资源列表

  1. VHDL

    0下载:
  2. 在电子技术中,频率是最基本的参数之一,又与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。在本次毕业设计中我们选择使用单片机来制作数字频率计,并在实际制作中采用了直接测频法。利用延时产生的时基门控信号来控制闸门,通过在单位时间内计数器记录下的脉冲个数计算出输入信号的频率,最终送入LCD中显示。这样制作出来的频率计不仅可以满足设计题
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:220627
    • 提供者:张林锋
  1. kp_lcd

    0下载:
  2. This is Keypad and LCD interface C code Tested on Sparton 3 xilinx FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2257
    • 提供者:bhagwan
  1. LCD

    0下载:
  2. 利用FPGA和硬件描述语言来控制字符型液晶显示器的读写-The use of FPGA and hardware descr iption language to control the read and write character LCD display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2669146
    • 提供者:qinkui
  1. LCD

    0下载:
  2. Spartan3E的LCD字符滚动显示源程序 VHDL-Scroll Spartan3E character LCD display the VHDL source
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:411734
    • 提供者:黄达
  1. LCD_1602

    0下载:
  2. lcd 1602 xianshi kongzhiqudong-lc 1602
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:481685
    • 提供者:liqiang
  1. an497_design_example_altera_CPLD_LCD

    0下载:
  2. altera cpld lcd controller
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1552727
    • 提供者:tragun
  1. LCD-VHDL

    0下载:
  2. LCD控制VHDL程序与仿真,FPGA驱动LCD显示中文字符“年”程序-fpga/cpld
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:4560
    • 提供者:cgsljl
  1. lcd

    0下载:
  2. It is a example about LCD by VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2428
    • 提供者:M
  1. Simple_LCD

    0下载:
  2. 简单的Spartan 3e 上面的LCD控制程序-A simple Spartan 3e above LCD control procedures
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:5405
    • 提供者:zhanchi
  1. LCD-hello

    0下载:
  2. VHDL syntax hello world for LCD written in VHDL MAXII evaluation board EPM1270F256C5
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:1265
    • 提供者:soroush
  1. VHDL

    0下载:
  2. Program VHDL is scan keypad matrix 3*3 display to LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:659949
    • 提供者:pokamon
  1. lcd

    0下载:
  2. 在和众达SEED-XDTK平台上,基于XC4Vsx25的液晶驱动程序。-In and Jones SEED-XDTK platform, based on XC4Vsx25 liquid crystal driver.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:779759
    • 提供者:hechao
  1. lcd_controller

    0下载:
  2. LCD controller 320x240 XC95144, building Xilinx ISE 6.0 Platform VHDL.
  3. 所属分类:Project Design

    • 发布日期:2017-05-16
    • 文件大小:4448722
    • 提供者:Meke
  1. LCD-VHDL-

    0下载:
  2. LCD控制VHDL程序与仿真 以FPGA驱动LCD显示中文字符“年”程序为例-LCD control and simulation of VHDL program to FPGA-driven LCD display Chinese characters " year" program as an example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:4703
    • 提供者:qingyin
  1. 1602LCD

    0下载:
  2. 一个关于显示器实现的VHDL源代码,已测试过,可以运行-A character display on the VHDL source code has been tested, you can run
  3. 所属分类:Other systems

    • 发布日期:2017-04-15
    • 文件大小:5730
    • 提供者:wwy
  1. LCD

    0下载:
  2. VHDL LCD Interface Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1344
    • 提供者:arvind
  1. DE2LCD_(VHDL)

    0下载:
  2. DE2控制LCD显示(VHDL编写对LCD的控制)-DE2 LCD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:5160
    • 提供者:no4
  1. LCD1602

    0下载:
  2. 用VHDL编程,在FPGA上实现串口!已经调试通过,希望一起分享!-Using VHDL programming, the FPGA, Serial! Has been debugged and want to share!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:764537
    • 提供者:ncf
  1. LCD

    0下载:
  2. 在spartan3e开饭板上的字符lcd驱动,在第二行显示oh,yeal-The characters in the spartan3e lcd driver board meals, in the second line shows oh, yeal! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1522712
    • 提供者:zhangjian
  1. LCD

    1下载:
  2. T6963C控制器图形液晶模块VHDL控制代码-T6963C Graphic LCD module controller VHDL control code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1764
    • 提供者:ilovethisgam
« 1 2 34 5 6 7 8 9 10 ... 23 »
搜珍网 www.dssz.com