CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL Music

搜索资源列表

  1. 0608190248xiatao

    2下载:
  2. 实验利借助于Quartus II 软件设计了一个多功能数字钟,实现了校时,校分,清零,保持和整点报时等多种基本功能,此外还实现了闹钟,星期,音乐闹铃等附加功能。本文首先利用Quartus II进行原理图设计并仿真调试,最后在实验板上验证了设计的正确性。 关键字:数字钟 闹钟 仿真 准点报时 -Quartus II software by means of experimental Lee designed a multi-functional digital clock and real
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-06
    • 文件大小:1186223
    • 提供者:李敬超
  1. Music

    0下载:
  2. 应用VHDL编写的基于FPGA的音乐播放程序-Applications written in VHDL FPGA-based music player
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:371922
    • 提供者:紫云
  1. vhdl

    0下载:
  2. 实验箱的蜂鸣器是交流蜂鸣器,在BZSP输入一定频率的脉冲时,蜂鸣器蜂鸣,改变输入频率可以改变蜂鸣器的响声。因此可以利用一个PWM来控制BZSP,通过改变PWM的频率来得到不同的声响,以此来播放音乐。-Experiment Box AC buzzer buzzer is in BZSP certain frequency pulse input, the buzzer beeps to change the input frequency can change the sound of the b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:22016
    • 提供者:王记存
  1. lcd_time

    1下载:
  2. 一个基于VHDL的多功能数字钟设计,能在LCD上显示时间,调整时间,整点报时,音乐为美妙的梁祝。-A VHDL-based design of multi-functional digital clock that can display time in the LCD, adjust the time, the whole point of time, music was wonderful Butterfly Lovers.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:196108
    • 提供者:周殿凤
  1. music

    0下载:
  2. 自己做的音乐播放器 VHDL的 慢慢听 梁山伯与祝英台-Make their own music player to listen to VHDL' s slowly Butterfly Lovers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:344761
    • 提供者:lucas
  1. music.v

    0下载:
  2. 用VHDL硬件描述语言在CPLD实现播放音乐-VHDL hardware descr iption language used for playing music in the CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:673
    • 提供者:jiajinying
  1. eda--sheji

    0下载:
  2. 这是我自己编写的fpga程序,使用vhdl语言,开发板能够播放《迟来的爱》这首音乐,大家可以借鉴使用-This is my own fpga written procedures, using vhdl language, the development board to play " late love" this music, we can learn to use ......
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:259097
    • 提供者:dws
  1. music1

    0下载:
  2. VHDL 多功能数字钟源码音乐模块2,自扒简谱-Multi-function digital clock source VHDL music module 2, since the expense of musical notation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1201
    • 提供者:
  1. music

    0下载:
  2. 完成一个简易的音乐播放器,可以完成上一曲,下一曲,顺序播放,停止,暂停和液晶显示,同时还增加了单曲循环播放功能。在理论分析的基础上,用VHDL语言编写源代码,再配合具体电路连接,实现对蜂鸣器振动的控制,以及对各项显示功能的切换控制等。-Complete a simple music player that can be completed on a next one, the order of play, stop, pause, and liquid crystal display, but
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:152761
    • 提供者:谷丰
  1. songer

    0下载:
  2. 著名歌曲《十送红军》音乐发生器在FPGA上实现-The famous song " Shisonghongjun" music generator in the FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4539
    • 提供者:longjiezhong
  1. music

    0下载:
  2. 乐曲演奏电路VHDL描述,下载到芯片后可以听到乐音。-music playing circuit based on VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:176414
    • 提供者:cjz
  1. FPGAmusicBox_gunKaragoz.net

    0下载:
  2. Simple music box using a Digilent Basys2 Xilinx Spartan 3E-100 FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:73345
    • 提供者:gunkaragoz
  1. the-music-of-VHDL-programming

    0下载:
  2. 这个是音乐的VHDL编程,此程序的源代码只是梁祝的配音,将乐谱改变即可能实现所需歌曲的音乐!-This music, VHDL programming, the source code of this program is only Butterfly s voice, will the music change is likely to achieve the desired songs music!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:982
    • 提供者:徐辉
  1. VHDL-NoteTabs-

    0下载:
  2. 利用实验数控分频器的设计硬件乐曲演奏电路,主系统由三个模块组成,顶层设计文件,其内部有三个功能模块,TONETABA.VHD,NOTETABS.VHD,和SPEAKERA.VHD, 在原设计的基础上,增加一个NOTETABS模块用于产生节拍控制(INDEX数据存留时间)和音阶选择信号,即在NOTETABS模块放置一个乐曲曲谱真值表,由一个计数器的计数值来控制此真值表的输出,而由此计数器的计数时钟信号作为乐曲节拍控制信号,从而可以设计出一个纯硬件的乐曲自动演奏电路。-Experimental NC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5038
    • 提供者:JACK
  1. dianziqin-music-bofangEDA

    0下载:
  2. EDA编程 电子琴乐曲播放 EDA程序VHDL语言-Keyboard music playing eda program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:749
    • 提供者:liang
  1. music-playing-

    0下载:
  2. 根据乐曲演奏电路的原理,用VHDL实现乐曲的演奏-According to circuit theory of music playing to achieve the music playing in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:160383
    • 提供者:lyyua
  1. Beep-Music

    0下载:
  2. VHDL 蜂鸣器音乐程序——两只老虎,包含 官方模块调用实例。-VHDL the Buzzer music program- two tigers, including the official calling module instance.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:794131
    • 提供者:aaaaa
  1. VHDL-based-music-player-design

    0下载:
  2. 为本人2012年下学期的EDA大作业,含 设计文档 和 源代码。所设计的系统在网上很难找到(当时我就没找到,特别是源码),二本系统又具有一定的实用性,只要在ROM中存储不同的歌曲编码,即可播放不同的乐曲。 文章详细介绍了“具有自动乐曲演奏功能的电子琴”的FPGA设计原理与方法,使用了ROM存储音符和节拍,矩阵键盘控制整个系统。 源码注释清楚,容易理解。 欢迎访问我的博客:http://blog.csdn.net/enjoyyl-For the I semester of 20
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4927169
    • 提供者:刘志
  1. music-and--vhdl

    0下载:
  2. 对基于FPGA的音乐播放器的实现的原理进行了阐述-The principles of music players FPGA-based implementations are described
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:98748
    • 提供者:liulianhe
  1. VHDL

    0下载:
  2. 蜂鸣器奏乐程序,FPGA控制不同频率波形-Buzzer music program, FPGA control of different frequency waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:404459
    • 提供者:qianjie
« 1 2 3 45 6 7 8 »
搜珍网 www.dssz.com