CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL Music

搜索资源列表

  1. top

    0下载:
  2. 一个music的VHDL语言相当有用,里面有三首歌
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2121
    • 提供者:土波
  1. song_vhdl_quartus

    0下载:
  2. 用VHDL演示MUSIC的程序,可以应用,开发环境QUARTUS,实验箱为GW48
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:415972
    • 提供者:在路上
  1. yinyue

    0下载:
  2. 音乐,用vhdl编写的程序-Music, using VHDL preparation procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:57463
    • 提供者:刘英
  1. yueqvyanzou

    0下载:
  2. 基于MUXPLUS2的VHDL程序,实现音乐播放,-MUXPLUS2 the VHDL-based procedures, the realization of music player,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:25847
    • 提供者:刘英
  1. SY10

    0下载:
  2. 本文介绍了乐曲演奏电路的设计与实现中涉及的CPLD/FPGA可编程逻辑控件,开发环境MAX+PLUSⅡ,硬件描述语言HDL以及介绍了在MAX+PLUSⅡ的EDA 软件平台上, 一种基于FPGA 的乐曲发生器的设计方法, 并给出了设计的顶层电路图和底层模块的VHDL(或AHDL)源程序。该设计的正确性已通过硬件实验得到验证。 -The musical performance circuit’s design and implement Abstract: This paper introd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:307323
    • 提供者:guo
  1. misuic

    0下载:
  2. 一个梁祝的音乐发生器 本压缩包是一个ppt 包含其原理及vhdl代码 经过本人验证过的-A music generator of the Butterfly Lovers package contains a ppt its principle and vhdl code after I verified
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:324860
    • 提供者:小慧
  1. 61EDA_D772

    0下载:
  2. 基于FPGA的乐曲硬件演奏电路设计的实现(有完整的VHDL代码)-FPGA-based hardware music playing circuit design implementation (a complete VHDL code)
  3. 所属分类:Other systems

    • 发布日期:2017-05-01
    • 文件大小:767701
    • 提供者:李大胆
  1. MusicDesignBasedonVHDLLanguageSimulation

    0下载:
  2. 基于VHDL实现得音乐播放程序,已上机调试运行,效果良好。-VHDL implementation was based music player, has been on the machine commissioning good effect.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:231721
    • 提供者:戚澈
  1. VHDLbaseddesignofmusicplayer

    0下载:
  2. 在EDA开发工具Quartus II 6.0平台上,采用VHDL语言层次化和模块化的设计方法,通过音符编码的设计思想,预先定制乐曲,实现动态显示乐曲演奏电路的设计,并在此基础上,基于同一原理,使此电路同时具备了简易电子琴的功能,使基于CPLD/FPGA芯片的乐曲播放数字电路得到了更好的优化,提高了设计的灵活性和可扩展性。- Based on the QuartusII-the EDA development tool, this design has adopted the method of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:97461
    • 提供者:bianwei
  1. zy4668_music

    0下载:
  2. 本源码实现了用VHDL语言设计音乐播放器-This source code implements the design using VHDL language music player
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3371775
    • 提供者:张楠
  1. songer

    0下载:
  2. vhdl实现乐曲演奏,乐曲可以自行替换,led显示音调.-vhdl achieve music performances, music can replace on their own, led display tone.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:529852
    • 提供者:周鹏
  1. speaker

    1下载:
  2. 基于VHDL的乐曲演奏电路,完整的Quartusii编程,经测试完全成功,初学者入门好帮手,读者打开即可使用-VHDL-based music concert circuit, complete Quartusii programming, tested a total success, a good helper for beginners entry, readers can use to open
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:41575
    • 提供者:周殿凤
  1. songer

    0下载:
  2. 利用VHDL语言,可以实现播放音乐的功能-Using VHDL language, can achieve the function of music
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:250780
    • 提供者:吕韬
  1. SONGYFQ

    0下载:
  2. 用VHDL设计的电路,输出接到喇叭可播放乐曲“一分钱”。适合做课程设计。-Circuit design with VHDL, output to speakers can play music, " a penny." Suitable curriculum design.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1074
    • 提供者:
  1. EDAmusicplayer

    0下载:
  2. EDA乐曲播放器,在EDA开发工具Quartus II 6.0平台上,采用VHDL语言层次化和模块化的设计方法,通过音符编码的设计思想,预先定制乐曲,实现动态显示乐曲演奏电路的设计-EDA music player
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:117079
    • 提供者:维吉尔
  1. yinyuefashengqi

    0下载:
  2. 用VHDL语言编辑的音乐发生器,可以实现多首音乐的播放。并保存暂停断点。用quartus 打开-Music by VHDL language editor generator, can play more songs. And save the suspended breakpoint. Open with quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:444961
    • 提供者:张鑫
  1. songer

    0下载:
  2. VHDL乐曲演奏电路 1.设计题目:乐曲演奏芯片设计 2.设计要求:至少三首乐曲,可独立播放,也可循环播放-VHDL play circuit music 1. Design topic: music playing chip design 2. The design requirements: at least three songs, can independence play, also can looping
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:745939
    • 提供者:张志鹏
  1. Each-module-program

    1下载:
  2. 此程序为基于FPGA乐曲演奏电路设计的VHDL程序,可根据程序手动控制播放的音乐-This program tracks performance FPGA-based VHDL circuit design process, according to the manual control of the music program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1408
    • 提供者:赵沂林
  1. demo6-beep

    0下载:
  2. 利用FPGA技术,使用Altera芯片,演奏乐曲,使用VHDL语言-use the FPGA technolog to play a music
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:479058
    • 提供者:liushu
  1. song-play

    1下载:
  2. 本文作者创新点是基于FPGA完成乐曲演奏电路,在Altera Quartus II 环境下,用VHDL 语言实现电子琴演奏音乐的设计实例,设计者根据VHDL的语法规则,对系统的逻辑行为进行描述,然后通过综合工具进行电路结构的综合、编译、优化,用仿真,可在短时间内设计出高效、稳定、符合设计要求的电路。-This innovation is the author of music to play based on FPGA to complete the circuit, the Altera Qu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:151918
    • 提供者:wangwenhao
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com