CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL Music

搜索资源列表

  1. the-digital-clock

    0下载:
  2. 本设计选用 ALTERA 公司的 EP1C12Q240C8 芯片,利用 VHDL 语言采用自 顶向下的方法在 Quartus Ⅱ环境下完成了数字钟的设计,最后在实验箱上进行测 试。该数字钟包含的功能有计时、显示星期、校时校分、清零、整点报时、音乐 闹铃。-The design uses the silicon chip EP1C12Q240C8 produced by the company of ALTERA. And with the help of VHDL, the de
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:231232
    • 提供者:费孝海
  1. a

    0下载:
  2. 简易电子琴演奏器的VHDL实现 本实验实现了简易的电子琴演奏,包括自动和手动演奏。 输入为BTN0~BTN6,代表1~7共7个音符。音高可切换低中高音,用两个拨码开关控制:“00”为低音,“10”或“01”为中音,“11”为高音。一个拨码开关切换收动/自动。一个开关控制存储(播放存储)/不存储。一个按键clr复位。 输出为8*8点阵、两个数码管(显示音高和字符)、蜂鸣器。 具体功能: 当切换至手动模式时,根据手动按键播放音乐并显示。此时若存储开关置1,当前播放音符被存储,采样
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5189133
    • 提供者:carmack
  1. musicplay

    0下载:
  2. its a vhdl file for music player
  3. 所属分类:Sniffer Package capture

    • 发布日期:2017-04-14
    • 文件大小:5220
    • 提供者:gaurav goyal
  1. music_ic

    0下载:
  2. 此為VHDL之音樂IC設計,透過Max Plus II將設計結果顯示。-This is the music of IC design VHDL, designed by Max Plus II results will be displayed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:405762
    • 提供者:
  1. automusic

    0下载:
  2. 基于VHDL语言自动音乐播放器,使用惠灵顿公司的FPGA器件,可以实现两首音乐手动切换,以及音符数码管同步显示-Based on VHDL automatic music player, use Wellington s FPGA devices, you can achieve two music manually switch, and notes synchronized digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:926
    • 提供者:Bin
  1. music_player

    0下载:
  2. 音乐播放器,各模块使用VHDL写的,拥有暂停功能。jishu模块根据时钟信号产生八位递增的地址信号,传到music模块。music模块存放音乐的数据,根据得到的地址输出音阶。tonetab接收到音阶信号后会输出当前的音阶是多少,是否为高八度,用于数码管显示,同时将此音阶需要的分频率传给speaker模块。speaker模块根据接受到的分频比对2M的时钟进行分频,然后送给蜂鸣器发出声音。-Music player, each module written in VHDL, with pause f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1419569
    • 提供者:马梁
  1. zhitouzi

    0下载:
  2. 原创。掷骰子游戏,VHDL,quartus,北京邮电大学数电实验,实现随机掷骰子游戏,在数码管显示点数,点阵显示输赢,有开机动画以及开机音乐,可实现多人游戏等-games, VHDL, quartus,experiments of BUPT, pure originality,random game, in the digital display dots, dot matrix display winning or losing, there are boot animation and bo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-30
    • 文件大小:11800569
    • 提供者:bamboo
  1. mouse_kit

    2下载:
  2. 实现难度可调(6级,速度不同)的简单打地鼠游戏。开发板上的led灯代表地鼠,按键代表锤子。此程序代码可直接执行,适合初学者VHDL入门。 源码中,divider为分屏器;key_scan为按键扫描;random产生随机数;music为背景音乐播放模块;manage为主程序模块。-Adjustable implementation difficulty (6 level, different speeds) simple whack-a-mole game.The led lights on
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-08-02
    • 文件大小:1597440
    • 提供者:黄浩洸
  1. 1802-bell-liangzhu

    0下载:
  2. 基于FPGA的音乐播放器,采用VHDL语言-FPGA-based music player, using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:442876
    • 提供者:wang
  1. Music_Alice

    0下载:
  2. 致爱丽丝音乐,用VHDL来编写的爱丽丝音乐,蜂鸣器演奏-Alice using VHDL to write music, play buzzer
  3. 所属分类:Other systems

    • 发布日期:2017-05-16
    • 文件大小:4018309
    • 提供者:kawa
  1. FPGA_JOW

    0下载:
  2. 本设计为学校打铃管理系统,使用VHDL设计,根据打铃功能不同输出不同的音乐,工作模式包括正常上课模式、考试模式、放假模式-The design management system for the school bell, the use of VHDL to design, according to different output different music in Bell functions, working modes including normal class mode, test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2441479
    • 提供者:li
  1. zx3016_yinyuebofang

    0下载:
  2. vhdl编写的音乐播放器,能够在fpga开发板上运行,内置了几首歌曲,能够播放-vhdl written music player that can run in the fpga development board, built a few songs, can play
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2384866
    • 提供者:朱翔
  1. VHDLfmq

    0下载:
  2. FPGA驱动蜂鸣器,vhdl语言,蜂鸣器奏乐-FPGA, vhdl language, buzzer music
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:133242
    • 提供者:刘胜毅
  1. Eclock

    0下载:
  2. Xilinx实现电子时钟功能,具有调整时间功能,设置闹钟功能,闹钟播放音乐等,非常适合VHDL入门。-Xilinx implement electronic clock function, have adjustment time function, set the alarm function, the alarm to play music, ideal for entry VHDL.
  3. 所属分类:Document

    • 发布日期:2017-12-12
    • 文件大小:1517633
    • 提供者:dong sun
  1. FPGA乐曲演奏电路

    2下载:
  2. 乐曲硬件演奏电路设计,采用verilog-VHDL语言编写,使用quartus2开发平台编译仿真(design of music hardware performance circuit(verilogVHDL))
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-09-17
    • 文件大小:970752
    • 提供者:Dexter_
« 1 2 3 4 5 6 7 8»
搜珍网 www.dssz.com