CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - can vhdl

搜索资源列表

  1. VHDL--VGA

    0下载:
  2. 此VHDL语言程序可以控制液晶屏幕任意动画播放-The VHDL language program can control the LCD screen any animation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1022
    • 提供者:ZHOU
  1. VHDL-8bitFIFO

    0下载:
  2. FIFO的宽度:也就是英文资料里常看到的THE WIDTH,它只的是FIFO一次读写操作的数据位,就像MCU有8位和16位,ARM 32位等等,本程序实现8位的FIFO功能,三位格雷码可表示8位的深度。-THE WIDTH of THE FIFO: namely information in English often see THE WIDTH, it is only a FIFO data read and write operations, as has 8 bit or 16 bit M
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1072
    • 提供者:刘伟
  1. Ethernet-communication-VHDL-master

    1下载:
  2. Ethernet communication VHDL you can download it.
  3. 所属分类:VHDL编程

  1. VHDL

    0下载:
  2. 将音乐数据存储到LPM-ROM,就达到了以纯硬件的手段来实现乐曲的演奏效果。只要修改LPM-ROM所存储的音乐数据,将其换成其他乐曲的音乐数据,再重新定制LPM-ROM,连接到程序中就可以实现其它乐曲的演奏。-oring music data to LPM-ROM, reached with pure hardware means to achieve the effect of music playing. Just modify LPM-ROM music stored data, whic
  3. 所属分类:ELanguage

    • 发布日期:2017-05-03
    • 文件大小:986957
    • 提供者:李永科
  1. VHDL-qiangdaqi

    0下载:
  2. VHDL语言实现的抢答器功能,源码和原理图都包含在文件内,可以直接在FPGA上运行。-The VHDL Responder function, source code and schematics are included in the file, you can run directly on the FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:935946
    • 提供者:程序猿
  1. vhdl---calculator

    0下载:
  2. 基于vhdl语言编写的简易计算器程序,其中主要功能有加减乘和清除,确定等,可实习现连续运算。输出使用七段数码管输出,输入采用拨码开关的方式输入。若计算结果超过99999,蜂鸣器自动报警。-Vhdl language based on simple calculator program, where the main function, subtraction, multiplication and clear, determined, can now practice continuous op
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1817518
    • 提供者:张圆
  1. vhdl-convert-verilog

    0下载:
  2. vhdl与verilog相互转换的工具,在xp条件下可以破解成功,win7下只能用demo模式-vhdl and verilog conversion tools in xp successful break conditions, can only be used under win7 demo mode
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3965998
    • 提供者:郑大伟
  1. RS232--TEST--VHDL

    0下载:
  2. 自己编写的程序,自己做的板子,并且调试成功了,可以下载使用的很好的测试程序。-I have written a program, do their own board and debugging successful, the program can be downloaded for use. .
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3967
    • 提供者:林木
  1. VHDL

    0下载:
  2. VHDL的参考手册,具有一定的参考价值,大家可以参考学习下-VHDL reference manual, with some reference value, you can refer to learn under
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1106078
    • 提供者:
  1. VHDL

    0下载:
  2. 数字时钟,实现24小时数码管显示,可以实现按键校时-Digital clock, 24 hours to achieve digital display, you can achieve the key school
  3. 所属分类:Other systems

    • 发布日期:2017-05-09
    • 文件大小:1831877
    • 提供者:zcx
  1. pinlvji-design-VHDL

    0下载:
  2. 使用Altera公司的EP2C35系列的FPGA芯片,利用SOPC-NIOSII-EP2C35开发板设计和仿真一个数字频率计,对1Hz~250KHz 的脉冲进行频率测量,采用等精度测量,即在所测量的整个频段内部,均可实现相同精度的测量,测量精度与频率无关,结果在数码管上显示-The use of Altera EP2C35 series FPGA chip using the SOPC-NIOSII-EP2C35 board design and simulation of a digital
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1366811
    • 提供者:淡然
  1. VHDL-553044Petroleum_79382Family936

    0下载:
  2. 这是一个不错的源码VHDL-553044Petroleum_79382Family936,功能还是不错的,可以学习参考-This is a good source petroleum_79382family936 VHDL- 553044 function is good, can learn the reference
  3. 所属分类:Other windows programs

    • 发布日期:2017-05-05
    • 文件大小:3903
    • 提供者:llor0422
  1. streetlights-based-on-VHDL

    0下载:
  2. 本程序设计了一个基于FPGA的路灯控制系统,具有时控、声光控、交通控制的功能,即不但可通过对系统人工设定开关灯时间来完成其工作,也可通过采集实际环境的光信号和声音信号来控制路灯工作,还可以通过交通状况控制;此外可以通过故障检测功能,实现对路灯的故障检测,并且可以由七段数码管显示故障路灯编号;同时,利用热敏电阻等器件组成外部电路,用来检测电路温度,此电路具有报警功能,保证系统在正常温度范围内工作。在交通状况控制模式下,利用红外传感器探测目标位置,进而确定输出高低电平。在仿真模拟中结果正确,实现了
  3. 所属分类:HardWare Design

    • 发布日期:2017-12-12
    • 文件大小:18954
    • 提供者:wang
  1. VHDL

    0下载:
  2. 用VHDL写的模拟cpu程序,可以下载到硬件完成仿真,东南大学课程设计- Written in VHDL simulation CPU program, you can download to the hardware simulation, Southeast University curriculum design
  3. 所属分类:Other windows programs

    • 发布日期:2017-12-11
    • 文件大小:2678520
    • 提供者:戴娜
  1. RAM2048X8

    0下载:
  2. you can add this code to your project if you need RAM2048X8
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-27
    • 文件大小:3072
    • 提供者:bmkarim
  1. 64point_FFT

    0下载:
  2. FFT64位,经过本人整理,工程已经编好,打开就可以马上用,适合新手看看(FFT64 bit, the project has been prepared, and can be opened immediately, suitable for novices to see)
  3. 所属分类:DSP编程

    • 发布日期:2017-12-20
    • 文件大小:1703936
    • 提供者:22c
  1. kehshechenxu

    1下载:
  2. 编制一全双工UART电路,通过试验箱MAX202E转换成RS232电平,与计算机进行通讯实验,设置8个按键,按键值为ASIC码“1”~“8”,通过串口发送给计算机,在计算机上显示键值,同时在数码管最高位显示;计算机可发送“0”~“F”的ASIC码,FPGA接收后在数码管低位显示0~F。通过按键可设置波特率。 要求:波特率为三种 1200、2400、9600,由1个按键选择,3个LED分别指示; 数据格式为1位起始位、8位数据位和一位停止位; 上位计算机发送接收软件可使用
  3. 所属分类:其他

  1. a_vhdl_can_controller

    0下载:
  2. 使用VHDL语言,实现CAN控制器,支持CAN 2.0B(Use VHDL, realize CAN Controller, Support CAN 2.0B)
  3. 所属分类:单片机开发

    • 发布日期:2017-12-23
    • 文件大小:409600
    • 提供者:飞雪漫天
  1. jie_pg40

    0下载:
  2. Clustering analysis based on Euclidean distance, Can realize the two-dimensional data clustering, Genetic algorithm based reactive power optimization.
  3. 所属分类:软件设计/软件工程

    • 发布日期:2017-12-23
    • 文件大小:4096
    • 提供者:inkpmjf
  1. VHDLDIV

    0下载:
  2. 文档里面的程序是用VHDL编程的分频程序,是将12MHZ的频率分频为1HZ和1KHZ,当然,也可以修改成任意频率的分频程序。(Document inside the program is programmed with VHDL frequency division program, is the frequency of 12MHZ frequency is 1HZ and 1KHZ, of course, can also be modified to any frequency frequ
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-12
    • 文件大小:2591
    • 提供者:wangtao74177
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com