CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - can vhdl

搜索资源列表

  1. test81

    0下载:
  2. DE2 音频处理 从SD卡读去音乐数据在做相应的处理,通过 音频输出口播放 同事可以从音频输入口加入相应的音乐 也可以从MIK口输入音频-DE2 audio processing time from the SD card to do the music data in the processing, I play through the audio output from the audio input of my colleagues to join the music I can
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5126256
    • 提供者:lin jin
  1. DE2_i2sound

    0下载:
  2. 此压缩包为完整的卡拉OK程序,可以直接下载到DE2开发平台中,实现卡拉OK功能,LINEIN输入想要的音乐,MIC输入您的歌声。-This archive is a complete karaoke OK program, can be directly downloaded to the DE2 development platform, achieving Kara OK function, LINEIN enter the desired music, MIC input your sin
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-26
    • 文件大小:36081
    • 提供者:zhangx
  1. T-REC-H.264-200503-S!!PDF-C

    0下载:
  2. H.264中文版的翻译,希望对大家有帮助,我从网上找的,发在这里,待阿可以免费下载·-H.264 chinese translation version, wish this can help you in your design and project@
  3. 所属分类:Streaming_Mpeg4

    • 发布日期:2017-05-14
    • 文件大小:3816120
    • 提供者:zhouzhipeng
  1. USB2.0

    1下载:
  2. UTMI全称为 USB2.0 Transceiver Macrocell Interface,此协议是针对USB2.0的信号特点进行定义的,分为8位或16位数据接口。目的是为了减少开发商的工作量,缩短产品的设计周期,降低风险。此接口模块主要是处理物理底层的USB协议及信号,可与SIE整合设计成一专用ASIC芯片,也可独立作为PHY的收发器芯片,下以8位接口为例介绍PHY的工作原理及设计特点。 -UTMI called USB2.0 Transceiver Macrocell Interfac
  3. 所属分类:USB develop

    • 发布日期:2016-07-15
    • 文件大小:210476
    • 提供者:leixueyan
  1. lowfrequencyphasemeasurement

    0下载:
  2. 原创代码--绝对值得下载 低频相位测量原代码, 测量精度可到10^-6次方,测量范围1hZ-30M -Original code- definitely worth downloading the original source of low-frequency phase measurement, the measurement accuracy can be 10 ^-6 power, range 1hZ-30M
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6039
    • 提供者:kevin
  1. sine-generator

    0下载:
  2. 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:676053
    • 提供者:zzwuyu
  1. calculator

    3下载:
  2. 用VHDL在quartus2下实现的计算器。输入为4*4矩阵键盘,输出为共用数据线的数码管。可以实现简单数学运算、逻辑运算、进制转换、连续运算等功能。-Using VHDL in quartus2 achieve calculator. Input 4* 4 matrix keyboard, the output data lines for sharing of digital control. Can achieve a simple mathematical operations, log
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1276791
    • 提供者:jizhen
  1. YCbCr_RGB_10bit

    0下载:
  2. YCbCr 转 RGB模块,以应用于项目中。 该模块可将10bitYCbCr分量视频转换为12bitRGB视频,需消耗乘法器。-YCbCr turn RGB module, to apply to the project. The module can be 10bitYCbCr component video converted to 12bitRGB video, need to consume multiplier.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1078
    • 提供者:张曦
  1. 8051ip

    0下载:
  2. fpga 51核,这个是我老师写的,现在就是输入输出io是分别定义的,希望能给大家提供一点帮助!-fpga 51 nuclear, this is written by my teacher, this is the input and output, respectively, the definition of io is the hope that we can provide a little help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13709336
    • 提供者:张双洋
  1. 256.16-RAM

    0下载:
  2. VHDL语言编写,实现256×16RAM块功能,稍加修改即可改变RAM块的容量-VHDL language, achieving 256 ×16RAM block .A little change can change the capacity of the block RAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:266362
    • 提供者:王建伟
  1. VHDL_electronic_organ

    0下载:
  2. 简易电子琴,可以弹奏音乐。本课程设计主要内容是基于VHDL语言并利用数控分频器设计硬件电子琴,利用GW48作为课程开发硬件平台,键1至键8设计为电子琴键。某一个LED显示当前的按键的音节数。-Simple organ, can play music. The main contents of this curriculum design is based on the VHDL language and the use of digital hardware design divider org
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:266826
    • 提供者:lsb
  1. SPI

    0下载:
  2. VHDL语言编写的SPI通信接口,可实现与单片机等外部MCU的通信,且只占用较少的引脚线-Written in VHDL SPI communication interface, can be realized with the microcontroller and other external MCU communication, and only takes less pin line
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:585586
    • 提供者:ldong1989
  1. Verilog_UDP

    0下载:
  2. 辛辛苦苦找到的UDP的资料,在verilog中UDP指的是用户定义的原语。比如说大家有时候会见到“primitive...table...endtable...endendprimitive”这样的代码段,在书上只能找到大概的解释。到网上查的话又老是跟TCP/IP的UDP冲突。所以特地搜集到了这个东西,希望能帮助大家解决“用户原语”相关的问题。-UDP hard to find the information in verilog in the UDP refers to the user-de
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:125643
    • 提供者:龙也
  1. cameralink

    0下载:
  2. 由于目前基于CameraLink接口的各种相机都不能直接显示,因此本文基于Xilinx公司的Spartan 3系列FPGAXC3S1000-6FG456I设计了一套实时显示系统,该系统可以在不通过系统机的情况下,完成对相机CameraLink信号的接收、缓存、读取并显示 系统采用两片SDRAM作为帧缓存,将输入的CameraLink信号转换成帧频为75Hz,分辨率为1 024×768的XGA格式信号,并采用ADV7123JST芯片实现数模转换,将芯片输出的信号送到VGA接口,通过VGA显示器显示
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:13232
    • 提供者:lilei
  1. FPGA_PWM

    0下载:
  2. 用Verilog语言编写的FPGA控制PWM的程序.利用码盘脉冲进行调速,进行过简单试验,可用.没有经过长期验证.做简单修改即可应用!-Using Verilog languages FPGA control PWM procedures. Using pulse code disk for governor, conducted a simple test that can be used. Not after a long-term verification. To do a simple
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1009
    • 提供者:温海龙
  1. TFTLCD

    1下载:
  2. 基于FPGA的彩屏LCD控制器,800*480,显示彩条,TFT LCD型号AT070TN83-The TFT Lcd controller based on FPGA.The Matrix is 800*480,it can display color bands.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-06
    • 文件大小:1024
    • 提供者:willam
  1. epcs_controller

    0下载:
  2. 用verilog 语言写的可配置控制器程序用于实现fpga软件程序的存储-Verilog language used to write programs that can configure the controller fpga software programs used to implement the storage
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:3209
    • 提供者:tianyu
  1. ALU

    1下载:
  2. vhdl代码 使用quartus编译 cpu中 alu的设计 可作为课程设计的参考 此为16的运算器-VHDL code using Quartus compiler cpu in alu design of curriculum design can be used as a reference for this for 16 computing device
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1081
    • 提供者:闵瑞鑫
  1. fpga-pwm

    0下载:
  2. 用verilog 语言写的FPGA子程序,环境是quartus II 7.2 已经在EP1C6Q240上测试过,源码包含仿真文件和仿真结果,本程序可以直接嵌入做子程序使用。-FPGA with the verilog language written subroutines, the environment is quartus II 7.2 has been tested on EP1C6Q240, source code contains the simulation files and s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1163190
    • 提供者:黄家武
  1. Walsh

    3下载:
  2. 利用ISE编写的产生WALSH码的verilog程序,简单易懂,稍稍修改就可以产生出自己想的8 16 32 64位的WALSH码-Prepared using ISE verilog code generated WALSH procedures, easy to understand, a little modification can generate their own like the 8,16,32,64-bit code WALSH. .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-02-15
    • 文件大小:177248
    • 提供者:蜡笔
« 1 2 3 4 5 6 78 9 10 11 12 ... 50 »
搜珍网 www.dssz.com