CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cic滤波器

搜索资源列表

  1. CIC6_fir_comp_mlab

    1下载:
  2. CIC补偿滤波器设计,CIC滤波器采用5阶6倍抽取设计。-CIC compensation filter design, CIC filter 5 samples 6 times the design stage.
  3. 所属分类:DSP program

    • 发布日期:2017-04-03
    • 文件大小:2067
    • 提供者:42200306
  1. CIC4_fir_comp_mlab

    1下载:
  2. CIC抽取补偿滤波器设计,CIC滤波器采用5阶4倍抽取。-CIC compensation filter design samples, CIC filter order 4 times using 5 samples.
  3. 所属分类:DSP program

    • 发布日期:2017-04-02
    • 文件大小:2076
    • 提供者:42200306
  1. jifenlvboqi

    0下载:
  2. 为了解决软件无线电通信系统中频采样之后的极大数据量在基带处理部分对DSP计算的压力,常采用多速率处理技术.多速率处理过程中需要使用积分梳状滤波器、半带滤波器和高阶FIR滤波器.在分析了积分梳状滤波器的结构和特性的基础上,阐述了多级CIC滤波器一种高效的FPGA实现方法,该方法的正确性和可行性通过Quartus Ⅱ的时序仿真分析得以验证,实际中可以推广应用.-In order to solve software-defined radio communications system after I
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:179939
    • 提供者:王楚宏
  1. dspddc_R12p1

    1下载:
  2. 基于DSPbuilder搭建的DDC,里面包括CIC滤波器,FIR低通滤波器,HB半带滤波器,NCO等,实现了GC5016芯片的功能-DSPbuilder erected based on DDC, which include the CIC filter, FIR low-pass filter, HB half-band filter, NCO, etc. to achieve the function of the GC5016 chip
  3. 所属分类:DSP program

    • 发布日期:2014-10-26
    • 文件大小:17176
    • 提供者:郑程
  1. CIC_filter

    0下载:
  2. CIC滤波器的原理及FPGA实现 里面有我收集的各种关于CIC滤波器的FPGA 实现的文章及源码-CIC filter FPGA realization of the principle and there are a variety of my collection on the CIC filter FPGA implementation and the source article
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1709190
    • 提供者:应清
  1. cic

    2下载:
  2. 在信号处理中,信号发射时,信号通过载波,调制,以电磁波的形式发射出来 在接收端,射频信号通过天线接收,超外差式等方式进行处理,变频到中频 然后通过数字技术对中频信号进行处理,此时的中频信号采样率很高,多达几十兆赫 而要解调出来的信号很小,此时就要经过滤波来得到我们需要的信号 如果直接设计滤波器,阶数会非常庞大,软件,硬件设备都承受不了 因此,一般常用的技术是进行下变频处理,其主要技术就是通过抽取滤波进行下变频 常用的滤波器就是CIC抽取, 希望可以帮助一些坛友解决基本的问
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:670
    • 提供者:wangheyi
  1. cic

    0下载:
  2. CIC积分梳状滤波器的程序、是生成五级CIC抽取器:cic3_decimator.V-CIC CIC filter program, is to generate five CIC decimator: cic3_decimator.V
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:911
    • 提供者:笙箫
  1. CIC32

    0下载:
  2. cic滤波器,没有用ip核,用vhdl语言写的32倍抽取,4阶,经过验证-cic filter, did not use ip core, the language used to write 32 times vhdl extract, 4 bands, proven
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1901
    • 提供者:孙文
  1. NCO-CIC

    0下载:
  2. 是CIC滤波器的一部分,是积分部分,可以实现3倍抽取。NCO-Is part of the CIC filter is an integral part, can achieve three times the extract.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1495
    • 提供者:欧熊平
  1. CIC

    0下载:
  2. 积累积分梳妆滤波器(CIC)滤波器的性能参数分析与设计,总结CIC滤波器影响的参数有哪些,怎样设计。-cascaded comb filter s design and how to design
  3. 所属分类:matlab

    • 发布日期:2017-03-30
    • 文件大小:49571
    • 提供者:huang xuejiao
  1. cic

    1下载:
  2. cic滤波器matlab和fpga实现 软件无线电中-cic filtering matlab fpga software radio
  3. 所属分类:matlab

    • 发布日期:2017-04-03
    • 文件大小:557039
    • 提供者:蒋攀
  1. cic.verilog

    0下载:
  2. 3阶的32倍抽取cic滤波器verilog代码-Level 3, 32 times the extraction of cic filter verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:934
    • 提供者:
  1. CIC-filter-design

    0下载:
  2. CIC滤波器的设计,实验中用到的所有完整的工程文件在test5文件夹下。 (1)一级CIC滤波器的设计,完整的工程文件包含: cic_only.mdl ma_only_standard.mdl (2)多级CIC滤波器的设计,完整的工程文件包含: cic_5th_order_pipe1.mdl cic_5th_order_pipe2.mdl fixed_point_cic.mdl (3)CIC插值和抽取滤波器的设计,完整的工程文件包含: cic
  3. 所属分类:DSP program

    • 发布日期:2017-03-26
    • 文件大小:126171
    • 提供者:
  1. cic-1

    0下载:
  2. cic滤波器2倍抽取verilog代码及testch-cic filter decimation verilog code and testch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1835
    • 提供者:黄远望
  1. design-of-cic-filter-based-on-FPGA

    0下载:
  2. 基于FPGA的CIC滤波器设计,希望对大家有用。-design of cic filter based on FPGA.
  3. 所属分类:File Formats

    • 发布日期:2017-10-31
    • 文件大小:1357120
    • 提供者:李昱辰
  1. cic

    0下载:
  2. CIC滤波器的实现,我毕设中的一个模块可用,经过编译仿真可用-CIC filter to achieve my complete a module can be compiled simulation available
  3. 所属分类:assembly language

    • 发布日期:2017-11-25
    • 文件大小:1084
    • 提供者:shao
  1. CIC

    0下载:
  2. CIC滤波器,学习数字滤波器的资料,代码完全能够运行,自己修改代码的参数可以得到更好的效果。-CIC filter, learning digital filter material, code, fully capable of running their own parameters can modify the code to get better results.
  3. 所属分类:Other systems

    • 发布日期:2017-11-15
    • 文件大小:785
    • 提供者:Yossarian
  1. CIC

    0下载:
  2. 基于FPGA的CIC滤波器设计方案,可做思路的参考,PDF版本。-FPGA-based CIC filter design, ideas do reference, PDF version.
  3. 所属分类:Project Design

    • 发布日期:2017-05-01
    • 文件大小:745102
    • 提供者:liudongzhu
  1. CIC

    0下载:
  2. 抽取滤波器,实现512倍抽取2级CIC滤波器,抗混叠滤波以及抽取作用!-cic filter
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:733
    • 提供者:陈小梅
  1. CIC-filter

    0下载:
  2. 采用多级级联方法降低了对硬件运算速度的要求,有利于实时处理;采用余弦滤波器改善了阻带衰减不足;内插二阶多项式滤波器补偿了 CIC 滤波器通带内的衰减;抗混叠低通滤波器减小了混叠影响-Using multi-stage cascade approach reduces hardware requirements for computing speed, real-time processing in favor cosine filter improves the stop-band atten
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:742261
    • 提供者:xin
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com