CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clock generator

搜索资源列表

  1. clock_generator

    0下载:
  2. 802.11a时钟产生、分频模块,verilog源码-802.11a clock generator, frequency module, verilog source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:164002
    • 提供者:阿毛
  1. Clock

    0下载:
  2. 使用远程方法调用,有一个时间发生器和时间显示器,时间显示器可以选择任意的时间间隔来显示时间,并且不同的时间显示器可以分布在不同的机器上,以不同的时间间隔来显示。-The use of remote method invocation, there is a time, generator, and time display, time display may choose an arbitrary time intervals to show time and different time di
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-17
    • 文件大小:9032
    • 提供者:rthqua
  1. ad9523

    0下载:
  2. AD9523 SPI Low Jitter Clock Generator for Embedded Linux.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-15
    • 文件大小:7967
    • 提供者:vengqacin
  1. CLOCK_GENERATOR

    0下载:
  2. 一个verilog时钟发生器源代码,能够满足最小时间间隔0.1ns的时钟计时要求。-A clock generator verilog source code, to meet the minimum time interval of 0.1ns clock timing requirements.
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:1025
    • 提供者:孙斌
  1. si5351

    0下载:
  2. Si5351A programmable clock generator platform_data.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-12
    • 文件大小:1152
    • 提供者:gengvuiron
  1. test_clkgen

    0下载:
  2. Test Clock Generator. You can learn how to implement test clock generator in VHDL
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:815
    • 提供者:salih
  1. fram

    0下载:
  2. Silicon Laboratories Si5351A B C I2C Clock Generator.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-03
    • 文件大小:10510
    • 提供者:votvvo
  1. clk_gen

    0下载:
  2. this is a clock generator program by using concurrent language verilog hdl with xilinx ise.
  3. 所属分类:Compiler program

    • 发布日期:2017-04-16
    • 文件大小:28370
    • 提供者:sagar
  1. Lab1~3

    0下载:
  2. 此為VHDL之暫存器、栓鎖器、三態匣、計數與除頻電路以及時脈產生電路-This is a register of VHDL, Latch, tri-state box, count divider circuit and clock generator circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2225925
    • 提供者:
  1. mxs

    0下载:
  2. AD9523 SPI Low Jitter Clock Generator.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-29
    • 文件大小:8388
    • 提供者:zllouzt
  1. qfprom

    0下载:
  2. Binding for Maxim MAX77802 32k clock generator block.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-13
    • 文件大小:1994
    • 提供者:xerzingdk
  1. si5351

    0下载:
  2. Si5351A B C programmable clock generator platform_data.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-12
    • 文件大小:1036
    • 提供者:fuipsjz
  1. maxim-max77686

    0下载:
  2. Binding for Maxim MAX77686 32k clock generator block.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-29
    • 文件大小:13117
    • 提供者:和人工日
  1. time60

    0下载:
  2. 一个占用资源很少的时钟产生Verilog代码,值得借鉴-A small footprint clock generator Verilog code, is worth learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:608
    • 提供者:wangzao
  1. AD9516_driver_by_STM32

    2下载:
  2. AD9516是ADI公司的产品,它有14路时钟输出,内部VCO高达2.2GHz,本代码是AD9516的STM32驱动,经验证好使,默认配置为第8路时钟输出270MHz。-AD9516 is a product of ADI which has 14-Output Clock Generator with Integrated 2.2 GHz VCO,this code is the driver of AD9516 ,use STM32.
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:1301
    • 提供者:高正南
  1. Pulse-Generator-Final-Zip

    0下载:
  2. A VHDL pulse generator that generates customizable square wave pulses on an arbitrary number of channels. Controlled by UART communication through serial port. Tuned for 5ns period clock signal. The pulse width and delay of each channel is fully
  3. 所属分类:software engineering

    • 发布日期:2017-04-27
    • 文件大小:17901
    • 提供者:Eugene
  1. dwn_sampler

    0下载:
  2. Multirate digital signal processing system which includes sampling rate conversion. This technique is necessary for systems with different input and output sampling rates, as the proposed multirate device is downsampler FPGA implementation of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2327
    • 提供者:Mohan Reddy
  1. 1186

    0下载:
  2. CPU的时钟产生器 根据CLK信号输出4个时钟信号-CPU clock generator 4 under the CLK signal output clock signal
  3. 所属分类:Communication

    • 发布日期:2017-04-12
    • 文件大小:807
    • 提供者:lan5046713
  1. ClockGenerator

    0下载:
  2. Verilog code for a programmable clock generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:963482
    • 提供者:tom
  1. AD652

    0下载:
  2. Clock generator for AD652-AEC. Generates switchbale 2,174MHz Clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1008
    • 提供者:Alex
« 1 2 3 45 6 7 8 »
搜珍网 www.dssz.com