CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clock generator

搜索资源列表

  1. shiyan2

    0下载:
  2. 这是一个visual basic的实验,要求能够设计出一个电子钟和平均值生成器。-This is a visual basic experiment requires the ability to design a digital clock and average generator.
  3. 所属分类:Other Riddle games

    • 发布日期:2017-05-29
    • 文件大小:11516572
    • 提供者:陈沛涵
  1. nysa_sata_latest.tar

    0下载:
  2. The Xilinx CORE Generator™ is used to create a single-lane PCIe Endpoint Plus design. The generated PCIe system contains the PCIe endpoint plus block, GTP tiles, block RAMs, and clock and reset modules. The tutorial below shows how to create the
  3. 所属分类:DVD

    • 发布日期:2017-04-16
    • 文件大小:53023
    • 提供者:msmmsm
  1. UART

    0下载:
  2. verilogHDL语言实现的uart模块,内部包含波特率生成、uart收、uart发三个子模块,支持配置常规波特率、数据位、结束位和校验位,输入工作时钟125M,时钟不一样时需要修改波特率生成的代码-verilogHDL language of uart module contains an internal baud rate generator, uart receive, uart made three sub-module, configured to support conventi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:5758
    • 提供者:沈浩
  1. kebenchengxu

    0下载:
  2. VHDL代码,一些课本的小程序。包含3线-8线译码器,4选1选择器,6层电梯,8线-3线编码器,8线-3线优先编码器,8选1,BCD-7段显示译码器真值表,半加器,摩尔状态机,数字频率计,数字时钟,同步计数器,序列检测器的设计,序列信号发生器,一般状态机等等。(The small program of some textbooks. Includes 3 -8 decoder, 4 1 selector, 6 elevator, line 8 Line 8 line -3 encoder, -3
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:40960
    • 提供者:girl_lily
« 1 2 3 4 5 6 7 8»
搜珍网 www.dssz.com