CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clr

搜索资源列表

  1. sy6

    0下载:
  2. 数字钟的VHDL源程序,里面附有数字钟的VHDL源程序和原理图的数字钟电路,数字钟有en,clk,clr等接口。-Digital clock in the VHDL source code, which the VHDL source code with a digital clock and schematic of the digital clock circuit digital clock with en, clk, clr and other interfaces.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:603018
    • 提供者:下世
  1. Accelerated-CSharp-2010

    0下载:
  2. 中文名: C# 2010捷径教程 作者: 特雷·纳什 Trey Nash-C# 2010 offers powerful new features, and this book is the fastest path to mastering them—and the rest of C#—for both experienced C# programmers moving to C# 2010 and programmers moving to C# from another ob
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-19
    • 文件大小:5419396
    • 提供者:袁源
  1. CLR-Sketcher

    0下载:
  2. 使用windowsform实现的几何图形绘制工具。功能和windows的画图差不多。-Geometry using the drawing tools to achieve windowsform. Similar functions and windows of the drawing.
  3. 所属分类:Fractal program

    • 发布日期:2017-04-01
    • 文件大小:62719
    • 提供者:chenyujie
  1. CH9_tuoguanCPPprogram

    0下载:
  2. CH9_托管C++程序设计.ppt 托管C++能够充分应用.NET Framework提供的功能,并能允许用C++编写的模块与其它语言(如C#、Visual Basic等)编写的模块组合。 本章主要介绍托管C++程序设计的基础知识,包括CLR、托管程序、垃圾回收、托管数据类型、程序集、托管类、托管继承、接口、抽象类、托管引用类型和值类型、委托和事件等概念和技术。这些内容是Windows程序设计的基础,也是.NET环境中C#、Visual Basic等语言中的通用编程概念和技术。
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:121870
    • 提供者:kaifa
  1. Ex1_05

    0下载:
  2. VC入门,C++ CLR Forms程序,示范使用向导生成Windows Forms应用程序的方法,C++托管方式生成的应用程序和C#的很像,可以加快某些系统的开发进度,应该学习一下。-Introduction to VC, C++ CLR Forms program, demonstrate the use of wizard-generated Windows Forms application methods, C++ application built in escrow and C#
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-08
    • 文件大小:7615
    • 提供者:刘辉
  1. Single-port-RAM-

    0下载:
  2. 单口RAM带CLR信号的verilog程序。很详细的.-Single-port RAM with a CLR signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1118402
    • 提供者:赵峰
  1. D5_LCD_KEY

    0下载:
  2. 8051 LCD interface a51 code, 8 bit interface, clr display, commend sent and shift character facilities
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1442
    • 提供者:mengin
  1. 2

    0下载:
  2. mega16 单片机 cvavr 秒表,两个IO口串行输出段码,PA0接164的AB(即12号引脚),PA1接CLK(8),CLR接高电平,QH-QA接a——p,PC0-PC5接LED的6到0位控端-mega16 SCM cvavr stopwatch, two serial output section of the IO port code, PA0 received 164 AB (that is, 12-pin), PA1 then CLK (8), CLR then high, Q
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1018
    • 提供者:whczc
  1. cpp_trees.tar

    0下载:
  2. C++ code implementation of RedBlack trees and interval trees based on Algorithms from MIT s CLR
  3. 所属分类:MPI

    • 发布日期:2017-04-06
    • 文件大小:26890
    • 提供者:Ak
  1. jishu

    0下载:
  2. 实现自动售货机的计数模块.en为接受信号,当投币开始时投币模块发出信号,计数模块开始工作,当计数到30时向控制模块发出t信号。Clr为控制器发送给计数模块的一个信号,当clr为1时,计数器归零。Clk为分频模块发送的时钟信号-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2835
    • 提供者:谌黔
  1. ASP

    0下载:
  2. Introducing ASP.NET ASP.NET is a key part of the wider Microsoft .NET initiative, Microsoft’s new application development platform. NET is both an application architecture to replace the Windows DNA model and a set of tools, services, applicatio
  3. 所属分类:.net

    • 发布日期:2017-03-29
    • 文件大小:299154
    • 提供者:moh
  1. Visual-CPP2010

    0下载:
  2. 本书介绍visual C++开发权威指南,涉及MFC,CLR编程,是一本很好的学习资料,希望对大家有用-this book introduce visual c++,include MFC,CLR,it is a good book,I hope it is useful for you
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-27
    • 文件大小:9798383
    • 提供者:周开武
  1. dianzishu

    0下载:
  2. Visual C++2010 CLR开发电子书
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-27
    • 文件大小:10014769
    • 提供者:张玉霞
  1. Visual-CPP-2010-CLR

    0下载:
  2. Visual C++中.net框架下的编程和实例-The Visual C++. NET Framework programming and examples
  3. 所属分类:.net

    • 发布日期:2017-05-26
    • 文件大小:8843023
    • 提供者:jxdarkli
  1. celery

    0下载:
  2. 一个模10范围0-9的计数器,要求有输入端时钟clk、清零clr,4位输出; 还有一个模60范围0-59计数器,要求有输入端clk、clr、使能端en,分别以4位输出个位0-9与十位0-5,输出进位co-a funny counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:299193
    • 提供者:童超
  1. Phoenix1

    0下载:
  2. 一个模10范围0-9的计数器,要求有输入端时钟clk、清零clr,4位输出; 还有一个模60范围0-59计数器,要求有输入端clk、clr、使能端en,分别以4位输出个位0-9与十位0-5,输出进位co-another counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:161886
    • 提供者:童超
  1. DC-motor-cesu

    0下载:
  2. 设计制作和调试一个由MCS51单片机组成的直流测控系统。掌握直流电机的速度控制和测试方法。 要求完成的主要任务: (1)定义键盘按键:5个为数字键1~5;3个功能键:设置SET、清零 CLR、开始START; (2)显示器上的四位可显示每分钟的速度; (3)通过键盘的按键,设置直流电机每分钟的速度值; (4)按START键启动电机开始转动,按SET键停止;按CLR键清零。 以上包括proteus仿真图,源程序-DC measurement and control sys
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:109368
    • 提供者:飞翔
  1. MediaPlayer

    0下载:
  2. 一个基于DirectX的音乐视频播放器,使用的VC++的CLR库-A DirectX-based music video player, use the VC++ CLR Library
  3. 所属分类:DirextX

    • 发布日期:2017-05-08
    • 文件大小:1995555
    • 提供者:muy
  1. STM32test

    0下载:
  2. STM32触摸屏测试程序c语言,屏幕大小240x230,运行程序后 在屏幕提示PLEASE TOUCH FIRST POINT 后,点击屏幕显示区的 左上角,屏幕会提示PLEASE TOUCH SECOND POINT,点击屏幕中间的十字图标, 进行以上的校正后, 屏幕上部会出现彩条,点击彩条的颜色,可选择画笔的颜色,并可以在 屏幕上随意画图,点击右上角的CLR,可以清除掉所画的图形。-STM32 touch screen test program c language, scree
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-04-10
    • 文件大小:1853446
    • 提供者:兑尊
  1. sy4

    0下载:
  2. D74LS74 JK74ls112. LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY D74LS74 is port(clk,clr,PRE,D:in std_logic QT,QTN:out std_logic) end ENTITY D74LS74 architecture bhv of D74LS74 is signal q,qn:std_logic signal x:std_logic
  3. 所属分类:assembly language

    • 发布日期:2017-03-27
    • 文件大小:944
    • 提供者:镜辰
« 1 2 3 4 5 6 78 9 10 11 »
搜珍网 www.dssz.com