CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - counter verilog

搜索资源列表

  1. key

    0下载:
  2. cyclone系列下,采用计数器现实案件消抖的verilog HDL语言源码-series under the cyclone, the consumer cases Buffeting counter the reality of the verilog HDL language source code! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:383433
    • 提供者:wang
  1. simple_counter

    0下载:
  2. Simple Counter Written in VERILOG
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:555
    • 提供者:hargyo
  1. wishbone_i2c_master

    0下载:
  2. 本帖最后由 NovaCao 于 1-18-2009 18:02 编辑 使用Quartus II进行仿真 QQ:44425312 QQ群:50585234(群名称:FPGA4u) gtalk:fpgaforu@gmail.com 网站:www.fpga4u.com 淘宝网店:http://shop34914329.taobao.com/ 我们以一个计
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5205
    • 提供者:倪萍波
  1. iic.cx

    0下载:
  2. 本帖最后由 NovaCao 于 1-18-2009 18:02 编辑 使用Quartus II进行仿真 QQ:44425312 QQ群:50585234(群名称:FPGA4u) gtalk:fpgaforu@gmail.com 网站:www.fpga4u.com 淘宝网店:http://shop34914329.taobao.com/ 我们以一个计
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5181
    • 提供者:倪萍波
  1. counters

    0下载:
  2. 一个用Verilog语言实现的变计数器。包含工程文件和实现文档。-Verilog language implementation with a variable counter. And the achievement of the document contains the project file.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:98312
    • 提供者:文闯
  1. timeclock

    0下载:
  2. 数字钟的verilog实现程序。包含各个模块。分别为顶层模块,小时计数器,分计数器,秒计数器等。-Digital clock verilog implementation process. With each module. Were top-level module, hours counter, minutes counter, second counter and so on.
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-06
    • 文件大小:349613
    • 提供者:陈一龙
  1. AUTO_START

    1下载:
  2. verilog 编写的代码 方便使用 能自启动的七进制计数器-verilog code written in easy to use can be self-starting of the seven binary counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1202
    • 提供者:文一左
  1. bai4

    0下载:
  2. a 16 bits counter using verilog
  3. 所属分类:Project Design

    • 发布日期:2017-05-08
    • 文件大小:1906851
    • 提供者:atula136
  1. counter999

    0下载:
  2. 采用quartus软件的verilog编程语言编写的计数器模块-Counter module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:57368
    • 提供者:张建
  1. kbmjsq

    0下载:
  2. 用Verilog HDL语言实现可变模计数器的功能,并通过Quartus Ⅱ 功能仿真验证-Variable with the Verilog HDL language to counter the function module and function through simulation Quartus Ⅱ
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1333801
    • 提供者:jabeile
  1. counterN

    0下载:
  2. A Simple Register Counter in verilog Code with 8 bits
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2626
    • 提供者:guilherme
  1. cout_asyn

    0下载:
  2. 基于verilog的计数器设计,本例程将实现四位异步二进制计数器的功能,同时给出了同步二进制计数器和同步十进制计数器的VerilogHDL程序-Verilog counter based design, this routine will achieve the functions of four asynchronous binary counter, synchronous binary counter is given and synchronous decimal counter Ver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:210965
    • 提供者:李保亮
  1. miaobiao

    0下载:
  2. 秒表实验verilog代码,我已经调试好。希望供大家学习使用。-clock using counter code of verilog HDL.I debug it right
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:400373
    • 提供者:xiaowang
  1. S5

    0下载:
  2. VERILOG SOURCE CODE FOR N MODULO COUNTER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5646
    • 提供者:SUNIL
  1. 74hc4017

    0下载:
  2. 实现的是扭环形十进制计数器,用verilog HDL 语言,在Actel公司提供的LiberoFPGA开发环境下实现,代码经过验证,可在ModelSim中仿真 -Ring is twisted to achieve a decimal counter, using verilog HDL language, Actel offers the LiberoFPGA development environment, the code is validated, the simulation in t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:484013
    • 提供者:kmao
  1. mod6asynchro

    0下载:
  2. this is a code for mod-6 asynchronous counter in verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:24542
    • 提供者:swapna
  1. asynchro2bitupdownneg

    0下载:
  2. this a verilog code for asynchronous 2 bit up down counter with negative edge triggered.-this is a verilog code for asynchronous 2 bit up down counter with negative edge triggered.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:27549
    • 提供者:swapna
  1. counter_99

    0下载:
  2. Verilog实现的倒计数器,从99到1再循环,编译成功,可以直接运行,是很好的verilog语言的例子-Verilog implementation of the down counter, from 99-1 recycling, compiled successfully, you can directly run, is a good example of verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:531127
    • 提供者:李丽丽
  1. adder1

    0下载:
  2. 此源代码是基于Verilog语言的“与-或-非”门电路 、用 case语句描述的 4 选 1 数据选择器、同步置数、同步清零的计数器 、用 always 过程语句描述的简单算术逻辑单元、用 begin-end 串行块产生信号波形 ,有广泛的应用,比如编码器领域。-This source code is based on the Verilog language, " and- or- not" gate, with the case statement described in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1134
    • 提供者:王柔毅
  1. adder4

    0下载:
  2. 此源代码是基于Verilog语言的4 位全加器,4 位计数器、 4 位全加器的仿真程序、4 位计数器的仿真程序是用EDA语言描述4 位全加器,有广泛的应用。-The Verilog language source code is based on the 4-bit full adder, 4 bit counter, 4-bit full adder simulation program, 4-bit counter of the simulation program is to use la
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:841
    • 提供者:王柔毅
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 16 17 »
搜珍网 www.dssz.com