CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - counter verilog

搜索资源列表

  1. count_seg2

    0下载:
  2. 采用verilog语言编写,实习双向计数器,并用四段数码管显示。包含四段数码管显示二进制数模块-Use verilog language internship bidirectional counter with four digital tube display. Contains four digital display binary number module
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-07
    • 文件大小:1577
    • 提供者:赵庆壮
  1. jishuqi

    0下载:
  2. verilog计数器,很实用,很经典,实际测试过的,免费下载吧,-verilog counter, very practical, very classic, the actual test, Free!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:51368
    • 提供者:郭亮
  1. freq_meter

    0下载:
  2. 使用verilog写的频率计,可切换档位-Frequency counter using verilog write switch stalls
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1251287
    • 提供者:Engr.Echo
  1. j_k

    0下载:
  2. jk counter using verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:889
    • 提供者:mital soni
  1. count3

    0下载:
  2. verilog写的一个3计数器。很简单的一个东西。大家闭着眼就能写出来-verilog a 3-counter
  3. 所属分类:Other systems

    • 发布日期:2017-11-07
    • 文件大小:17851
    • 提供者:hy
  1. three_bits_counter

    0下载:
  2. verilog 3-bit counter
  3. 所属分类:Other systems

    • 发布日期:2017-11-14
    • 文件大小:126893
    • 提供者:wimiu
  1. fPGA_LED

    0下载:
  2. FPGA开发板做的一个简单LED驱动,使用Verilog语言实现- This is an example of a simple 32 bit up-counter called simple_counter.v It has a single clock input and a 32-bit output port module simple_count(input clock , output end of module counter
  3. 所属分类:Other Embeded program

    • 发布日期:2017-11-22
    • 文件大小:1685514
    • 提供者:zhanghf
  1. fifo-code

    0下载:
  2. Verilog代码:同步\异步FIFO。包含格雷码计数器.-Verilog code: syncronous\asyncourous FIFO. containing gray counter.
  3. 所属分类:Other systems

    • 发布日期:
    • 文件大小:2797
    • 提供者:王文
  1. FIFO-and-CAM

    0下载:
  2. verilog code for gray counter,synchronous and asynchronous fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:25464
    • 提供者:Abhijeet
  1. fpga

    0下载:
  2. FPGA的串口+计数器+DPS+数模转换的VERILOG源代码。-The VERILOG source of digital-to-analog conversion of the FPGA serial the+ counter+DPS+ code.
  3. 所属分类:DSP program

    • 发布日期:2017-11-18
    • 文件大小:7983
    • 提供者:孙尚
  1. Freq_counter_ise12migration

    0下载:
  2. 用verilog实现的一个频率计数器,可分别在不同的频率下计数(自己设定),里面有几个有用的小模块,分频,计数,显示,同步,进位等-Verilog to achieve a frequency counter, respectively, in different frequency count (set), there are several useful modules, divide, count, display, synchronization, binary, etc.
  3. 所属分类:Communication

    • 发布日期:2017-11-16
    • 文件大小:88248
    • 提供者:曾俊
  1. Counter10

    0下载:
  2. 在quartus 9.1软件上用verilog语言编写的10进制计数器程序-The Verilog language quartus 9.1 software 10 binary counter program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:315466
    • 提供者:黄灼泉
  1. VeriRISC_CPU_Verilog

    1下载:
  2. Verilog硬件描述语言实现VeriRISC CPU。模块包含:8位寄存器,5位计数器,32*8 RAM,8位ALU,MUX,顺序控制器,时钟生成器。包含TB。-This code is to model a VeriRISC CPU. It incorporates several modules: 8-bit register, 5-bit counter, 32 by 8 RAM, 8-bit ALU, scalable MUX, sequence controller, and clo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-20
    • 文件大小:8750
    • 提供者:张昊溢
  1. count-1

    0下载:
  2. 基于Verilog的仿真,各个进制的计数器仿真。-Verilog-based simulation, the simulation hex ​ ​ counter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:1474907
    • 提供者:li
  1. mb

    0下载:
  2. 基于Proasic3 startkit 开发板,用verilog语言描述的一个秒表计数器。-Based the ProASIC3 StartKit development board, using Verilog language descr iption of a stopwatch counter.
  3. 所属分类:MPI

    • 发布日期:2017-11-30
    • 文件大小:1891968
    • 提供者:章泽良
  1. 24xiaoshijishuqi

    1下载:
  2. 用verilog编写的24小时计数器,可以用作电子时钟,简单易懂。-Written in verilog 24 hour counter, which can be used as electronic clock, easy to understand.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:1022701
    • 提供者:龙树东
  1. count_0

    0下载:
  2. 利用控制器和数据通道组成的连续16bits中两个1之间间隔0个数最大的计数器。包括顶层模块,控制器模块和数据通道模块的Verilog源码和时序仿真波形。-Continuous 16bits using the controller and data path in intervals of two between 1 and 0 of the largest number of counter. Including the top module, controller module and da
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:299938
    • 提供者:fc
  1. 9999counter

    0下载:
  2. 用Verilog编写的9999计数器,实现0-9999计数,可任意分频。-9999 counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:118471
    • 提供者:xumin
  1. PROJECT1-20130414-20130512

    0下载:
  2. 16bit adder的verilog源代码和4bit的计数器源代码-source code for 16bit adder and 4bit counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-14
    • 文件大小:7823
    • 提供者:allen wang
  1. Counter_AD

    0下载:
  2. Parametrized System Verilog code for a Counter with an increade, decrease switch (AD)
  3. 所属分类:software engineering

    • 发布日期:2017-11-29
    • 文件大小:653
    • 提供者:souhaku
« 1 2 ... 8 9 10 11 12 1314 15 16 17 »
搜珍网 www.dssz.com