CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - counter verilog

搜索资源列表

  1. ji_shu_qi

    0下载:
  2. 在QuartusII软件中用Verilog HDL编写的计数器的源代码-Verilog HDL prepared counter with in QuartusII software source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:5359
    • 提供者:徐鑫
  1. test12

    0下载:
  2. 自己用VerilogHDL语言编写的时钟程序,包括时钟进位计数模块,数码管显示模块和闹钟模块。在cpld芯片上经测试有效(开发环境没找到VerilogHDL,就选了VHDL,其实他们不一样的……)-Clock with Verilog HDL language written procedures, including clock binary counter module, digital display and alarm modules. The CPLD chip has been te
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:874
    • 提供者:潘昕
  1. MATHM60

    0下载:
  2. 用Verilog语言写程序,实现对初始计数器60进一-Verilog language used to write programs to achieve the initial counter 60 a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:1157422
    • 提供者:潘小宾
  1. Counter_10

    0下载:
  2. verilog 计数器,每计数到十清零,可以直接下载到DE2-70开发板-verilog counter
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:275305
    • 提供者:刘勇
  1. 8253

    1下载:
  2. 8253可编程定时器/计数器芯片 VeriLog实现-8253 programmable timer/counter chip VeriLog achieve
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-14
    • 文件大小:1643520
    • 提供者:QingyuZhang
  1. calculator

    0下载:
  2. 利用verilog和vhdl两种语言写作的计数器,还有个性化设计模块,利用quartusii平台写作。-Use verilog and vhdl counter writing in two languages, as well as personalized design module, using the platform quartusii writing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:941518
    • 提供者:程煜河
  1. up_down_counter

    0下载:
  2. the code is written by verilog HDL, and present a kind of up-down counter to realize triangle carrier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:427749
    • 提供者:宫杰
  1. part1

    0下载:
  2. a 4-bit synchronous counter using T-Flip Flops and AND gates in verilog code. Implements on educational kit Altera MAX7000s EPM7128SLC84-7.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:140753
    • 提供者:Henna Tan
  1. counter10

    0下载:
  2. verilog编写的10进制计数器,并且功能仿真正确。软件为quartus II 11.0,和Modelsim-verilog prepared 10 binary counter, and functional simulation is correct. Software quartus II 11.0, and Modelsim
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:127803
    • 提供者:任留阳
  1. siweijishu

    0下载:
  2. verilog 四位十进制计数器 已经仿真正确-verilog four decimal counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:299336
    • 提供者:water
  1. daima

    0下载:
  2. 这是一个频率计的verilog源码 实现频率计数-this is a verilog program,it content a example impliment a frequnt counter.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:10221
    • 提供者:susan
  1. sync_reset_counter

    0下载:
  2. verilog语言四位计数器 使用clock always block-verilog language four counter clock always block
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:588
    • 提供者:zhaocong
  1. Counter_Debounce

    0下载:
  2. Verilog 3-bit Inc/Dec Counter on Spartan3E
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:133218
    • 提供者:kacian
  1. count

    0下载:
  2. basys2 模60计数器 并用数码管显示 verilog FPGA-basys2 mold 60 counter digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:175074
    • 提供者:刘铁峰
  1. counter7

    0下载:
  2. 4bit counter in verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:770
    • 提供者:kashif ali
  1. kaoshi

    0下载:
  2. FPGA -计数器,29减法计数器。使用verilog hdl编写格式,cyclone I 系列EP1C3TC144芯片。-FPGA programming using 29 down counter, using verilog hdl written format, cyclone I series EP1C3TC144 chips.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:511134
    • 提供者:覃振飞
  1. fp24_prj

    0下载:
  2. 这是我利用Verilog编写的一个时钟计数器,包括了时钟分钟和秒,结构简单,功能细化,而且我也将仿真结果放在该压缩文件中,通过下载到FPGA的板子当中就可以实现计数,希望对初学FPGA的同学有帮助-This is what I use Verilog prepared a clock counter, including the clock minutes and seconds, simple structure, function refinement, and I will also be
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:176336
    • 提供者:宗玥
  1. johnson

    0下载:
  2. verilog语言,johnson计数器的设计-johnson counter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:279026
    • 提供者:leandia
  1. test_led

    0下载:
  2. Verilog语言的24小时计数器,数码管显示,按键调时,在CPLD上调试正常。-Verilog language 24-hour counter, digital display, when the key tone on CPLD normal debugging.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:840232
    • 提供者:lgs2007m
  1. Lab13_mod5cnt

    0下载:
  2. 模-5计数器就是从0到4重复计数。也就是说,它一共要经历5个状态,输出从000变到100然后再回到000。本实验中用Verilog语句来描述。-Module-5 counter is from 0 to 4 repeat count. That is to say, it has to experience 5 state, the output from 000 to 100 and then to 000. Using the Verilog statement in this experi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:205204
    • 提供者:penglx1803
« 1 2 ... 9 10 11 12 13 1415 16 17 »
搜珍网 www.dssz.com