CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld fpga

搜索资源列表

  1. FPGAkeshe.doc

    0下载:
  2. 基于FPGA/CPLD的以QUARTUS2 的能够实现交通灯的显示与控制-enable the lingting traffic display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:352475
    • 提供者:王源
  1. 7845_VGA

    0下载:
  2. 此文档是一个DE0的关于VGA的例程,用于FPGA/CPLD开发。-This document is a DE0 VGA routines, to FPGA/CPLD Development .
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:209651
    • 提供者:钟鹏
  1. VHDL-CODE

    0下载:
  2. 书籍源代码_基于Altera FPGA/CPLD的电子系统设计及工程实践 -Books source code _ of Altera FPGA/CPLD-based electronic system design and engineering practice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-20
    • 文件大小:30523180
    • 提供者:汪晨
  1. 11111

    0下载:
  2. 1、用FPGA/CPLD实现HS162字符液晶显示。 2、分析相应的功能要求,分析CPLD与字符液晶HS162的接口典型电路。 3、利用状态机的设计方法,通过指令编程实现对HS162-4液晶模块的读/写操作,以及屏幕和光标的操作。 4、编写模块的Verilog HDL语言的设计程序。 5、在Quartus II软件或其他EDA软件上完成设计和仿真。 -This design of a CPLD-based controls HS162 to achieve character
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1046396
    • 提供者:kevin mk li
  1. FHT_example

    0下载:
  2. 面积和速度的互换是FPGA/CPLD设计的一个重要思想。乒乓操作、串并转换-The balance between area and speed is a important idea in the design of FPGA/CPLD. Ping-pong operation、the conversion between series and parellel
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:370392
    • 提供者:杨少
  1. xapp693

    0下载:
  2. 通过CPLD配置xilinx FPGA及程序版本管理的参考设计。-Reference design of XAPP693.
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-16
    • 文件大小:9439
    • 提供者:daneil
  1. Xilinx-Configuraon-Reference-

    0下载:
  2. 本应用笔记讨论的是Xilinx 的复杂可编程器件(CPLD)、现场可编程门阵列(FPGA)和PROM系列的配置和编程选项。它示意了每个系列的最常用的一些配置方法。-This application note of the discussion is the complex programmable device Xilinx (CPLD), field programmable gates array (FPGA) and PROM series of configuration and pro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:353416
    • 提供者:崔健
  1. HOW-TO-USE-XILINX-ROMS

    0下载:
  2. 如何更好设计应用Xilinx FPGA/CPLD的ROM-How to better design application of the Xilinx FPGA/CPLD ROM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:211965
    • 提供者:
  1. Choosing-signal-generator

    0下载:
  2. 基于FPGA的模拟信号源设计(中英文翻译) CPLD 信号发生器 频率捷变 无线电-FPGA signal generator frequency-agile
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:95452
    • 提供者:沈曙明
  1. qi-duan-yi-ma-qi

    0下载:
  2. 七段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用译码程序在FPGA\CPLD中来实现。本实验作为7段译码器,输出信号LED7S的7位分别是g、f、e、d、c、b、a,高位在左,低位在右。例如当LED7S输出为“1101101”时,数码管的7个段g、f、e、d、c、b、a分别为1、1、0、1、1、1、0、1。接有高电平段发亮,于
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3147
    • 提供者:xuling
  1. decode_38

    0下载:
  2. FPGA/CPLD平台,很好用的3-8译码器源程序。-FPGA/CPLD platforms, the very well with the 3-8 decoder source.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:324445
    • 提供者:ct
  1. lcd_triangle

    0下载:
  2. LCD液晶屏显示。FPGA和CPLD都能用。显示一个三角波。-LCD display. FPGA and CPLD can be used. Display a triangular wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-08
    • 文件大小:1292288
    • 提供者:ct
  1. Verilog_div_frequency

    0下载:
  2. 本文使用实例描述了在 FPGA/CPLD 上使用 Verilog进行分频器设计,主要包括50 占空比的奇数分频. -This article uses the example describes the crossover design using Verilog in FPGA/CPLD, including the 50 duty cycle odd divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:273523
    • 提供者:唐阳
  1. dotmatrix_8x16-VHDL

    0下载:
  2. Drive a 8x16 Dotmatrix LED Display By CPLD or FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:891
    • 提供者:rahmani
  1. VGA

    0下载:
  2. THIS CODE VERY GOD FOR DRIVE VGA IN CRT MONITOR WITH CPLD AND FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1508523
    • 提供者:mehdi
  1. FFlash_FPAG_JP

    0下载:
  2. FPGA或者CPLD通过JTAG接口口对FLASH进行读写的资料。非常有用 -FPGA or CPLD through the JTAG interface port on the FLASH read and write information. Very useful
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:305009
    • 提供者:lihoulian
  1. CoolRunner

    0下载:
  2. This the default CPLD design shipped with the board. The CPLD helps reduce the number of jumpers on the board and simplifies the interaction of all the possible FPGA configuration memory sources-This is the default CPLD design shipped with the board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:104800
    • 提供者:charlysed
  1. Example-8-1

    0下载:
  2. 我的观点是Verilog和VHDL对于高手而言各有利弊,Verilog感觉更适合于RTL(寄存器传输级)的描述,而VHDL更适于System级的建模。 但是初学者强烈建议学习Verilog,更容易入手些,但是学习过程中一定要注意下面一点,毕竟国内外大公司现在大都采用Verilog是有其原因的。 l FPGA/CPLD、ASIC的逻辑设计所采用的硬件描述(HDL)语言是同软件语言(如C,C++等)是有本质区别的!虽然Verilog很多语法规则和C语言相似,但是Verilog是硬件描述
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-17
    • 文件大小:430406
    • 提供者:王锋
  1. FPGAorCPLD_vga_Principle

    0下载:
  2. 介绍了FPGA或者CPLD上VGA显示的基本原理,这是一份很好论文说明。保证你值得拥有。-Describes the basic principles of the VGA display on the FPGA or CPLD, which is a very good paper describes. You deserve.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:289530
    • 提供者:boyzone
  1. minicpu(compiler-8bit)

    0下载:
  2. CISC microprocessor IP core & 8 bit compiler, verilog语言编写,可在FPGA和CPLD上综合实现,结构类似Intel 8085-CISC microprocessor IP core and 8-bit compiler, verilog language, FPGA and CPLD comprehensive realization .structure is similar to Intel 8085
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-16
    • 文件大小:104486
    • 提供者:gingercorn
« 1 2 ... 17 18 19 20 21 2223 24 25 26 27 »
搜珍网 www.dssz.com