CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld fpga

搜索资源列表

  1. a_vhd_16550_uart_latest.tar

    0下载:
  2. vhdl-fpga-c++-c-wireless networks-linux-verilog-cpld-arm-dsp
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:119911
    • 提供者:Saeid Marandi
  1. frenq

    0下载:
  2. 用于等精度频率计测量程序,可下载至FPGA,或CPLD芯片中-Used for other precision frequency measurement procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:7245
    • 提供者:董德勇
  1. EDA-Cont-LED-201006

    0下载:
  2. FPGA-CPLD实习计数器7段数码管控制接口设计与LED显示控制,FPGA译码-FPGA-CPLD internship counter 7-segment LED control interface design and LED display control, FPGA decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:304738
    • 提供者:云平
  1. dot-matrix-display

    0下载:
  2. 1、 了解点阵字符产生和显示原理和系统的16*16点阵的工作机理。 2、 加强对总线产生、地址定位的CPLD实现方法的理解。 3、 掌握在FPGA中调用ROM的使用方法。 -1 for dot matrix character generator and display principles and systems 16* 16 dot matrix working mechanism. 2, to strengthen the bus generation, address loca
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:1951131
    • 提供者:于治成
  1. asas

    0下载:
  2. 系统应用FPGA技术,通过VHDL编程,在CPLD上实现。电子琴的基本原理是产生各个音符对应的频率,将频率放大后驱动喇叭发出音响。该电子琴包括手动弹奏与自动演奏两种功能,其中手动弹奏时还可录音回放。文中叙述了电子琴的设计原理和分块实现的方法,详细介绍各模块的设计及模块之间的连接组合方法,还包括电子琴 -According to incomplete statistics, these companies represent about 40 of the domestic fiber la
  3. 所属分类:Embeded Linux

    • 发布日期:2017-11-10
    • 文件大小:5665
    • 提供者:黎明
  1. Verilog_HDl

    0下载:
  2. Verilog HDL是一种硬件描述语言(HDL:Hardware Discr iption Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 -VHDL language is a high-level language for circuit design, digital systems primarily used to describe the structure, behavior,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:80041
    • 提供者:李梓玉
  1. Synchronous_Design-of-huawei

    0下载:
  2. 华为公司在进行FPGA/CPLD设计时针对毛刺干扰及时序匹配所采取的同步化策略-Huawei making FPGA/CPLD design and timing match against glitch synchronization strategies adopted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-27
    • 文件大小:331308
    • 提供者:张炽
  1. DS18B20_1

    0下载:
  2. 数字温度传感器DS18B20控制程序VHDL语言,FPGA和CPLD都测试过可以执行-Digital temperature sensor DS18B20 control procedures VHDL language, FPGA and CPLD are tested to perform
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:437017
    • 提供者:高超
  1. 4_Buzzer_1

    0下载:
  2. 程序采用VHDL语言编写,主要用于FPGA或CPLD蜂鸣器演奏《光阴的故事》的节奏。-Programs using VHDL language, mainly for FPGA or CPLD buzzer play "Our Time," the rhythm.
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:454457
    • 提供者:施永驻
  1. 3-8decoder

    0下载:
  2. FPGA/CPLD的开发,基于VHDL语言编写的3-8译码器,供大家参考-Based on the VHDL language 3-8 decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:234542
    • 提供者:刘志芳
  1. 8-segment--LED

    0下载:
  2. FPGA/CPLD开发,基于VHDL语言实现,点亮一位八段数码管-FPGA/CPLD development, based VHDL, lit an eight digital tube
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:212057
    • 提供者:刘志芳
  1. adder-8segmengt-display

    0下载:
  2. FPGA/CPLD开发,基于VHDL语言的加法器实现,并用数码管显示-FPGA/CPLD development, based on VHDL adder implementation, and use digital tube display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:425113
    • 提供者:刘志芳
  1. multiplying-unit

    0下载:
  2. FPGA/CPLD开发,基于VHDL语言的乘法器的实现,数码管显示-FPGA/CPLD development, based on the realization of VHDL language multipliers, digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:235855
    • 提供者:刘志芳
  1. D-trigger

    0下载:
  2. FPGA/CPLD开发,基于VHDL语言的D触发器的实现-FPGA/CPLD development, based on VHDL implementation of the D flip-flop
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:213981
    • 提供者:刘志芳
  1. USB_BLASTER_code

    0下载:
  2. 用于制作ALTERA FPGA的下载线(USB_BLASTER)的CPLD逻辑代码(VHDL代码)。-USB BLASTER CODE DDFP SDFA SDE DSF DOD DOE DOE DOIII DEG SDAF, FSGR SE.
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:56597
    • 提供者:liyshen
  1. KEY

    0下载:
  2. fpga cpld 程序 key 按键 按键检测 verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:172866
    • 提供者:用彩色
  1. beep

    0下载:
  2. fpga cpld verilog hdl 语言 代码程序 beep 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:48923
    • 提供者:用彩色
  1. CPLD_LED

    0下载:
  2. fpga cpld verilog hdl 语言 代码程序 led 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:89101
    • 提供者:用彩色
  1. I2C

    0下载:
  2. fpga cpld verilog hdl 语言 代码程序 beep 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:619443
    • 提供者:用彩色
  1. switch

    0下载:
  2. fpga cpld verilog hdl 语言 代码程序 开关 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:110353
    • 提供者:用彩色
« 1 2 ... 19 20 21 22 23 2425 26 27 »
搜珍网 www.dssz.com