CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld fpga

搜索资源列表

  1. RS232

    0下载:
  2. this code show how to use Altium to coding RS232 on FPGA-CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-06-13
    • 文件大小:175104
    • 提供者:fazel
  1. SEG_BUS

    0下载:
  2. this code show to use Altium to coding 7 Segment BUS on FPGA-CPLD -this code show how to use Altium to coding 7 Segment BUS on FPGA-CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:193183
    • 提供者:fazel
  1. SW-LED

    0下载:
  2. this code show to use Altium to coding Switch and LED on FPGA-CPLD -this code show how to use Altium to coding Switch and LED on FPGA-CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:73519
    • 提供者:fazel
  1. SW-BIZ-REL

    0下载:
  2. this code show to use Altium to coding Relay and Switch and Beezer on FPGA-CPLD -this code show how to use Altium to coding Relay and Switch and Beezer on FPGA-CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:58400
    • 提供者:fazel
  1. SEG-1

    0下载:
  2. this code show to use Altium to coding Single 7 Segment on FPGA-CPLD -this code show how to use Altium to coding Single 7 Segment on FPGA-CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:103272
    • 提供者:fazel
  1. HL-340_xp

    0下载:
  2. quartus verilog FPGA/cpld 例程 verilog简单例程-quartus verilog FPGA/cpld verilog simple routine routines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:95004
    • 提供者:李先友
  1. Experience-sharing-FPGAaCPLD

    0下载:
  2. FPGA&CPLD数字电路设计经验分享,非常值得一看-Experience sharing, FPGA&CPLD digital circuit design is very worth a look
  3. 所属分类:Project Design

    • 发布日期:2017-05-07
    • 文件大小:1462330
    • 提供者:gaoang
  1. mimasuo

    0下载:
  2. 数码管的vhdl源程序,主要用在CPLD或者FPGA上。-it is vhdl language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1172
    • 提供者:pxx
  1. Prescaler-to-use-VHDL-design

    0下载:
  2. 本文使用实例描述了在 FPGA/CPLD 上使用 VHDL 进行分频器设计,包括偶数分频、非 50 占空比和50 占空比的奇数分频、半整数(N+0.5)分频、小数分频、分数分频以及积分分频。所有实现均可通过 Synplify Pro 或 FPGA 生产厂商的综合器进行综合,形成可使用的电路,并在 ModelSim 上进行验证。-This paper describes the use of examples prescaler to use VHDL design on FPGA/CPLD, i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:340069
    • 提供者:liufei
  1. UART

    1下载:
  2. 使用标准VHDL编写的RS232协议,可在CPLD或者FPGA上直接实现串口通信功能。-use VHDL to implement RS232 protocol, which can be used in CPLD or FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:530247
    • 提供者:林铎
  1. USB

    0下载:
  2. 使用标准VHDL编写的USB协议,可在CPLD或FPGA上实现USB功能。-use VHDL to implement USB protocol, which can be used in CPLD or FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1314398
    • 提供者:林铎
  1. VGA

    0下载:
  2. 使用标准VHDL实现的VGA协议,可在CPLD或者FPGA上实现视频扩展-use VHDL to implement VGA protocol, which can be used in CPLD or FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:365181
    • 提供者:林铎
  1. counter_johnson

    0下载:
  2. 基于FPGA,CPLD嵌入式系统的Verilog语言,用于实现Johnson计数器。-base on the FPGA or DPLD,to complement the Johnson counter.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:60621
    • 提供者:詹胤
  1. ML_CTL

    0下载:
  2. CPLD、FPGA控制8×8点阵显示流水效果-FPGA control dot matrix display water effects.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:894
    • 提供者:phjiang
  1. bt656_to_yuv422

    2下载:
  2. 从bt656数据流中提取出同步信号, 适合于搞fpga/cpld开发调式-bt656 internel sync to extern sync singal, bt656 internel sync to extern sync singal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-03-06
    • 文件大小:1024
    • 提供者:zbunix
  1. Example-s1-1

    0下载:
  2. 面积和速度的互换是FPGA/CPLD设计的一个重要思想。从理论上讲,一个设计如果时序余量较大,所能运行的频率远远高于设计要求,那么就能通过功能模块复用减少整个设计消耗的芯片面积,这就是用速度的优势换面积的节约;反之,如果一个设计的时序要求很高,普通方法达不到设计频率,那么一般可以通过将数据流串并转换,并行复制多个操作模块,对整个设计采取“乒乓操作”和“串并转换”的思想进行处理,在芯片输出模块处再对数据进行“并串转换”。从宏观上看,整个芯片满足了处理速度的要求,这相当于用面积复制换取速度的提高。面
  3. 所属分类:Other systems

    • 发布日期:2017-04-29
    • 文件大小:236050
    • 提供者:zhuchaoyong
  1. EP2C5T144_VGA

    0下载:
  2. VGA EP2C5T altera QuartusII VHDL FPGA CPLD passed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1696448
    • 提供者:寒雪亮
  1. PEX8311_test

    0下载:
  2. PEX 8311 OK PCI e cycloneIII altera quartus FPGA CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-11-05
    • 文件大小:1139712
    • 提供者:寒雪亮
  1. TEXIO

    0下载:
  2. TEXIO study testbench passed VHDL FPGA CPLD simulation Altera quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:53739
    • 提供者:寒雪亮
  1. USB VHDL

    0下载:
  2. Full USB interface fo FPGA CPLD VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2015-05-15
    • 文件大小:6559
    • 提供者:lessberg
« 1 2 ... 21 22 23 24 25 2627 »
搜珍网 www.dssz.com