CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld verilog

搜索资源列表

  1. manchester-Xinlinx

    0下载:
  2. verilog代码: 基于cpld的machester编译码器-verilog code: cpld of machester based codec
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:9635
    • 提供者:王雨
  1. ex1_clkdiv

    0下载:
  2. Verilog语言编写,通过此代码控制CPLD输出任意偶数倍分频-Verilog language, through this code control CPLD any even multiple output divider
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:401729
    • 提供者:王海波
  1. ps2scan

    0下载:
  2. 采用VERILOG的CPLD编程,通过ps2接收键盘数据,然后把接收到的字母A到Z键值转换相应的ASII码,通过串口发送到PC机上。 -Using VERILOG CPLD programming, through the PS2 receive keyboard data, and then receive the letters A to Z key transformation corresponding ASII code, through the serial port to se
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-11
    • 文件大小:1315
    • 提供者:suzhangzhan
  1. shift-register

    0下载:
  2. FPGA/CPLD 的verilog移位寄存器代码。-verilog shift register code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:944
    • 提供者:john
  1. ILX554B_CPLD

    0下载:
  2. 用CPLD(EMP240T100C5)产生ILX554B的驱动时序,CCD的驱动时序电路程序。用verilog编写。-Drive timing generator ILX554B with CPLD (EMP240T100C5), CCD drive timing circuit program. Written in verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:330436
    • 提供者:刘欢
  1. LCD1602

    0下载:
  2. Verilog 语言 CPLD 控制液晶自定义输出程序,可仿真,可转换电路原理图。-Verilog language CPLD control LCD custom output procedures, can be simulated, can be converted to circuit schematics.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:369055
    • 提供者:王志
  1. Verilog_prj

    0下载:
  2. 特权同学BJ-EPM240 CPLD开发板配套视频源码文件,ex1~ex15全,是入门Verilog的首选。-Privileged students BJ-EPM240 CPLD development board supporting the video source files, ex1 ~ ex15 whole, is the first choice of entry Verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7392359
    • 提供者:liuz
  1. receive_spi

    0下载:
  2. verilog语言SPI通信,可用于CPLD以及FPGA-Verilog language SPI communications, can be used for CPLD and FPGA
  3. 所属分类:Com Port

    • 发布日期:2017-04-12
    • 文件大小:888
    • 提供者:刘敏
  1. RS485

    0下载:
  2. FPGA/CPLD实现RS485通信协议,在Quartus ii平台上进行Verilog编程仿真-FPGA/CPLD realize RS485 communication protocol used to Verilog simulation on Quartus ii programming platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:669380
    • 提供者:cyl
  1. ex1_clkdiv

    0下载:
  2. 这个实验可以说是verilog入门最基础的实验了,我们不做太多的理论分析,实践是硬道理。 当CPLD的I/O( FM)为低电平时,三极管导通, 蜂鸣器发声。-This experiment can be said to be the most basic experiments verilog entry, and we do not do a lot of theoretical analysis, practice is the last word. When the CPLD' s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:504242
    • 提供者:贺亚晨
  1. CCD_Verilog_1014

    0下载:
  2. 基于CPLD器件的线型CCD东芝TCD1501的驱动程序,用verilog语言开发。-CPLD devices based on linear CCD driver Toshiba TCD1501 using Verilog language development.
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-04-13
    • 文件大小:1982
    • 提供者:pn05rico
  1. fpga

    0下载:
  2. 有关FPGA的好多资料的综合汇总,包括夏宇闻-Verilog经典教程,Verilog-testbench的写法,Altera+FPGA/CPLD设计高级篇,Altera+FPGA/CPLD设计基础篇等好几本书,超值-A comprehensive summary of a lot of information about FPGA, including Xia Wen-Verilog classic tutorial, Verilog-testbench writing, senior Alte
  3. 所属分类:source in ebook

    • 发布日期:2017-12-12
    • 文件大小:48264192
    • 提供者: libao
  1. tinycpufiles

    0下载:
  2. TinyCPU源码,使用Verilog编写的资源占用极少的CPU。Quartus工程,可跑在Altera MAXII CPLD上,也很方便移植到其他FPGA上。CPU使用200个逻辑单元,外设(SPI,LCD等)使用180个逻辑单元。 内含汇编编译器源码(VC2008),可编译CPU对应的汇编文件。-The sourcecode of TinyCPU, which only consumed very few logical cells, written by Verilog. It is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-11
    • 文件大小:60612
    • 提供者:肖海云
« 1 2 ... 6 7 8 9 10 11»
搜珍网 www.dssz.com