CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpld verilog

搜索资源列表

  1. cpld_bus

    0下载:
  2. CPLD的VerilogHDL总线代码,在EPM7128SLC84-10+Quartus4平台上运行通过.-CPLD bus Verilog HDL code, the PLD-10 Quartus4 platform to run through.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:218582
    • 提供者:hamlemon
  1. wavegenerator_testbench

    0下载:
  2. 此文件采用了verilog语言在cpld中怎样实现波形发生器,及其验证程序-this document using the Verilog language in the cpld How to achieve waveform generator, and the verification process
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4174
    • 提供者:liu
  1. Altera_uart_Verilog

    0下载:
  2. FPGA/CPLD应用,uart的Verilog HDL原码-FPGA / CPLD applications, UART Verilog HDL source
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:10421
    • 提供者:cyberworm
  1. beipin

    0下载:
  2. 用verilog写的cpld的各种分频程序,希望大家指正,谢谢!-using Verilog cpld written by the various sub-frequency procedures in the hope that we stand corrected, thank you!
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:992
    • 提供者:沈柱
  1. videofram

    0下载:
  2. 用CPLD控制图像卡进行帧存逻辑的verilog程序,用Quartus II 5.0打开-with CPLD control image frame buffer cards logical verilog procedures, Quartus II 5.0 Open
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:1325
    • 提供者:陈刚峰
  1. pwm_VerilogHDLV1.1

    0下载:
  2. 本软件在CPLD上实现数字PWM控制,用Verilog HDL语言编写,在MAX PLUS II调试成功,可用-the software on the CPLD digital PWM control, using Verilog HDL language, MAX PLUS II in debugging success can be
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:232453
    • 提供者:wjz
  1. CpldandEepromI2c

    0下载:
  2. verilog 编写的I2c协议程序,用于cpld读写EEPROM-verilog I2c agreement prepared by the procedures for cpld writable EEPROM
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:447472
    • 提供者:丁明
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. cpldPWM

    0下载:
  2. verilog HDL 编写的PWM,是初学CPLD者入门Z资源,epm7128stc100-10-verilog HDL prepared by the PWM, is a novice CPLD Getting Started Z resources, epm7128stc100-10
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:236956
    • 提供者:章风
  1. LCD_AV

    0下载:
  2. 这是用Verilog语言编写AV型LCD屏的驱动程序CPLD上运行并调试成功的。可用作数字到模拟LCD转换-Verilog language AV-screen LCD driver CPLD debugging and running successful. Can be used to simulate LCD digital conversion
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:913
    • 提供者:kensom
  1. keyscan

    0下载:
  2. 4×4键盘扫描的verilog 代码,在CPLD板上实现
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:1206
    • 提供者:fang zhou
  1. seg7_8

    0下载:
  2. fpga cpld verilog hdl 语言 代码程序 数码管 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:152680
    • 提供者:用彩色
  1. RESOLVER

    0下载:
  2. 旋变位置信号的监测,cpld verilog-Monitoring resolver position signal, cpld verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2324
    • 提供者:张新
  1. Verilog_prj

    0下载:
  2. 特权同学的CPLD学习版 Verilog和VHDL代码。含有仿真文件。-Learning Edition privileged students CPLD Verilog and VHDL code. Contains simulation files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:2732524
    • 提供者:宋磊
  1. HL-340_xp

    0下载:
  2. quartus verilog FPGA/cpld 例程 verilog简单例程-quartus verilog FPGA/cpld verilog simple routine routines
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:95004
    • 提供者:李先友
  1. sed

    0下载:
  2. CPLD数码管程序,详细的7段式数码管程序。-CPLD verilog program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:470016
    • 提供者:zuonan
  1. 0001_EPM3064最小系统模块_带JTAG_LED_2mm插针

    0下载:
  2. EMP3064的开发板板,原理图,verilog例子,板子说明,规格书,全套资料(EMP3064 development board, schematics, Verilog examples, board instructions, specifications, a full set of information)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-23
    • 文件大小:2069504
    • 提供者:ebile
  1. I2Csalve.v

    0下载:
  2. Modified I2C salve design 1. Asynchronous design: ASIC or FPGA design option 2. 8 bits CSR RW interface: 0~15, address and control 3. PAD not included 4. Altera CPLD verified
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-28
    • 文件大小:2048
    • 提供者:ph5077
  1. xapp386

    0下载:
  2. SPI Implementation on CPLD
  3. 所属分类:其他

    • 发布日期:2018-01-04
    • 文件大小:3210240
    • 提供者:Farook
  1. ADC0804

    0下载:
  2. 控制ADC0804的verilog 代码,cpld/fpga都可以使用,用数码管显示ADC采集的二进制数据。(Control ADC0804 verilog code, cpld / fpga can be used to display the ADC digital tube with the binary data collected.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1024
    • 提供者:w74177
« 1 2 3 4 56 7 8 9 10 11 »
搜珍网 www.dssz.com