CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpu design

搜索资源列表

  1. STM32uCGUI

    0下载:
  2. μ C/GUI 是一种用于嵌入式应用的图形支持软件。它被设计用于为任何使用一个图形 LCD 的应用提供一个有效的不依赖于处理器和 LCD 控制器的图形用户接口。它能工作于单任务或 多任务的系统环境下。μ C/GUI 适用于使用任何 LCD 控制和 CPU 的任何尺寸的物理和虚拟显示。 它的设计是模块化的,由在不同的模块中的不同的层组成。一个层,称作 LCD 驱动程序,包 含了对 LCD 的全部访问。μ C/GUI 适用于所有的 CPU,因为它 100 由的 ANSI 的 C 语言
  3. 所属分类:uCOS

    • 发布日期:2017-11-14
    • 文件大小:4826649
    • 提供者:
  1. LC2K

    0下载:
  2. Litter computer的VHDL实现,是一个多时钟周期CPU的设计实现。-It is a design of CPU of "little computer" in VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:6118
    • 提供者:张治国
  1. GetHardware

    0下载:
  2. WINDOWS下读写计算机硬件信息,用于加密设计,实现软件直接读取CPU,主板,MAC地址,硬盘等信息-Under WINDOWS read and write computer hardware information for the design of encryption software directly read information such as CPU, motherboard, MAC address, hard
  3. 所属分类:Windows Kernel

    • 发布日期:2017-11-22
    • 文件大小:3519774
    • 提供者:mindengxue
  1. armasm.chm.tar

    0下载:
  2. 这是有关介绍汇编的资料,里面习题可以巩固学习。具体的内容有: 1.CPU资源和存储器 2.操作数的寻址方式 3.标识符和表达式 4.微机CPU的指令系统 5.程序的基本结构 6.子程序和库 7.输入输出和中断 8.应用程序的设计 9.数值运算协处理器 10.汇编语言和C语言 11.汇编语言编程和调试工具 12.Pentium指令的执行周期数 1 附录3 中断列表 DOS和BIOS的宏定义 键盘按键的各种编码对
  3. 所属分类:software engineering

    • 发布日期:2017-11-21
    • 文件大小:153600
    • 提供者:梦幻之旅
  1. CPU_test

    0下载:
  2. 设计并通过modelsim仿真软件实现了一个可以在FPGA平台上运行的8位RISC的CPU软核-Design an 8-bit RISC CPU soft core on an FPGA platform and simulate it using ModelSim
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-12-03
    • 文件大小:111960
    • 提供者:YK97
  1. d12

    0下载:
  2. 计算机组成原理,设计cpu实现输入五个有符号数球负数的平方和!-Principles of Computer Organization, enter up to five the number of symbols ball square of the negative and design cpu!
  3. 所属分类:Other systems

    • 发布日期:2017-11-23
    • 文件大小:315115
    • 提供者:ting
  1. mutil_cpu

    0下载:
  2. 主要设计了基于Nios_的双核处理器的设计与实现,内含QUARTUS工程文件,实现了两个CPU通过互斥核通讯的实验。EP2C5平台-Primarily designed dual-core processors based Nios_ the design and implementation of embedded QUARTUS engineering documents, to achieve a of two CPU mutex nuclear communications experi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-01
    • 文件大小:22874352
    • 提供者:核外电子
  1. intheend

    0下载:
  2. VHDL设计CPU完整版的VHDL实验程序和下载到实验台上的程序 可能有一些小的错误需要自己调整一下 包括取值、运算、存出、写回和控制几大模块-The full version of the VHDL design CPU VHDL experimental procedures and downloaded to the experimental stage, the program may have some small errors need to adjust the values, c
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-30
    • 文件大小:2765887
    • 提供者:songsicong
  1. System-Verilog-and-HDL-skills

    1下载:
  2. 这个教程讲了如何用SystemVerilog写一个CPU,这个教程是和视频专辑http://i.youku.com/u/UMTExNzExOTgw/videos一起使用的,而且里面讲了一些FPGA的逻辑设计技巧-This tutorial about how to use SystemVerilog write a CPU, this tutorial is used in conjunction with, and the video album http://i.youku.com/u/UM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-11
    • 文件大小:3183976
    • 提供者:易瑜
  1. SC_CPU

    0下载:
  2. single cycle CPU element design with Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-09
    • 文件大小:14318295
    • 提供者:Virgil
  1. Vxworks

    0下载:
  2. VxWorks是一种嵌入式的实时操作系统,所谓嵌入式系统就是用户自己开发设计板子,板子上通常有一颗CPU,VxWorks支持32位的CPU,包括Intel公司的x86、Motorola公司的68k和PowerPC、MIPS、ARM、Intel公司的i960、Hitachi公司的SH。我们设计的这块板子通常没有软件的自开发能力,所以我们需要一台通用机来辅助开发,这台通用机可以是PC或工作站,我们称辅助我们软件开发的通用机为宿主机(Host),用户自己开发的板子为目标机(Target)。宿主机上要有
  3. 所属分类:VxWorks

    • 发布日期:2017-11-13
    • 文件大小:210494
    • 提供者:杨喆
  1. cputest

    0下载:
  2. 通过verilog语言设计的简单CPU,可完成加减乘除和算数逻辑移位功能。-By verilog language design simple CPU, to be completed by addition, subtraction, and arithmetic logic shift function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:3429690
    • 提供者:caoyj
  1. 06Singlechip_music

    0下载:
  2. 操作系统作为主控CPU,控制单片机进行音乐播放器的设计,适用于初学者进行单片机和操作系统的学习-Operating system, as the master control CPU control SCM music player design, suitable for beginners microcontroller and study of the operating system
  3. 所属分类:Driver develop

    • 发布日期:2017-11-22
    • 文件大小:14922
    • 提供者:吕腊梅
  1. cpu8bit

    0下载:
  2. 这是一个计算机组成原理综合性实验:设计8位cpu。该cpu是8bit的代码,包含有4个寄存器,一个存储器,还有alu以及控制器。一共可以实现16条指令。-This is a computer composition principle of comprehensive experiment: Design 8 cpu. The cpu is 8bit code contains four registers, a memory, as well as alu and controllers. A
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:777424
    • 提供者:陈飞飞
  1. FHXS

    0下载:
  2. 本系统永久免费 本人仅业余学习一些基础的ASP知识以供系统设计之用,并非专业人员,相关服务器设置、MSSQL/MySQL数据库方面的专业问题请自行解决。 本人仅提供本系统相关的一些技术问题的解释、解答。 运行环境: 服务器需要: Internet Information Server 5.x or 6.0 (iis5.0 以上版本) SQL Server 2000/以上版本 ,MySQL5.0 , or access 2002-access 2003
  3. 所属分类:Document

    • 发布日期:2017-04-09
    • 文件大小:2037129
    • 提供者:zhangxu
  1. CPUv1.6

    0下载:
  2. 简单的流水线CPU 课设做的 有实验报告 跟设计图-Simple pipelined CPU Lesson set up a lab report with design
  3. 所属分类:Other systems

    • 发布日期:2017-05-19
    • 文件大小:5577400
    • 提供者:克劳德
  1. U.S.-elite-embedded-lecture-ppt

    0下载:
  2. 美国名校的嵌入式课程讲义,多核cpu、内存、vliw指令等方面的设计原理-U.S. elite embedded lecture notes, multicore cpu, memory, vliw instruction and other aspects of design principles
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-29
    • 文件大小:139769
    • 提供者:翟林
  1. MT6589_SCH

    0下载:
  2. MTK公司最新4核手机CPU,MTK6589,全套手机参考设计原理图,ARMv7架构 CORTEX-A7内核-MTK s latest four-core mobile CPU, MTK6589, complete reference design schematics, ARMv7 architecture CORTEX-A7 core
  3. 所属分类:MTK

    • 发布日期:2017-03-31
    • 文件大小:477558
    • 提供者:gxliu
  1. shiyan8_IRQ4

    0下载:
  2. 开发环境:unSP IDE 2.0.0 实验目的: 1)了解IRQ4的中断向量和中断源。 2)掌握中断控制单元P_INT_Ctrl, P_INT_Clear的设置方法。 3)熟悉中断的编程方法。 实验设备: 1)装有µ ’nSP™ IDE仿真环境的PC机一台。 2)µ ’nSP™ 十六位单片机实验箱一个。 实验原理: IRQ4 中断对应4096Hz、2048Hz、1024Hz 中断源,通过写P_INT_Ctrl来设置中
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:28730
    • 提供者:niu
  1. wsss

    0下载:
  2. 本软件使用VC++6.0设计,分为三个标签页显示基本信息、硬件信息、U盘信息。用户可以通过本软件获取用户名计算机名信息、产品ID号、CPU信息、当前屏幕信息、本机IP地址、本机MAC地址、本机活动端口信息、本机进程信息、系统BIOS信息、显卡声卡网卡信息、内存信息、磁盘信息、U盘使用信息。本软件信息部分来自注册表中,所以在使用本软件时需要允许本软件访问注册表。 -The software uses VC++6.0 design, is divided into three tabs disp
  3. 所属分类:Driver Develop

    • 发布日期:2017-05-25
    • 文件大小:8545596
    • 提供者:dd
« 1 2 ... 22 23 24 25 26 2728 29 30 31 »
搜珍网 www.dssz.com