CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - dds FPGA

搜索资源列表

  1. EP1C3_12_10_PHAS

    1下载:
  2. 基于FPGA的移相式DDS正弦信号发生器的VHDL源代码,压缩包里是在Quartus里做的工程,FPGA用的是Cyclone1C3系列-FPGA-based phase-shifting of the DDS signal generator sine VHDL source code, compressed in the bag is done in Quartus Engineering, FPGA is used Cyclone1C3 Series
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:48763
    • 提供者:deadtomb
  1. sji

    0下载:
  2. 频率合成技术在现代电子技术中具有重要的地位。在通信、雷达和导航等设备中,它可以作为干扰信号发生器;在测试设备中,可作为标准信号源,因此频率合成器被人们称为许多电子系统的“心脏”。直接数字频率合成(DDS——Digital Direct Frequency Synthesis)技术是一种全新的频率合成方法,是频率合成技术的一次革命。本文主要分析了DDS的基本原理及其输出频谱特点,并采用VHDL语言在FPGA上实现。对于DDS的输出频谱,一个较大的缺点是:输出杂散较大。针对这一缺点本文使用了两个方法
  3. 所属分类:Project Design

    • 发布日期:2017-03-26
    • 文件大小:961592
    • 提供者:番薯军
  1. Lab2a

    0下载:
  2. C Code for a Nios II to switch led on a board with an FPGA ALTERA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1210
    • 提供者:gios78
  1. 75448152Project1-DDS

    0下载:
  2. 利用DDS芯片实现正弦波输出 使用synplify pro建立工程,加入这些文件 编译后生成.vqm的文件 用quartusII打开.vqm文件,编译通过 加入.vwf波形仿真文件,进行波形仿真 最后分配引脚,下载即可 - realization of sin wave in FPGA
  3. 所属分类:Document

    • 发布日期:2017-04-15
    • 文件大小:8615
    • 提供者:echo
  1. FPGA

    0下载:
  2. 针对MT9M111数字图像传感器,采用Cyclone系列 EP1C6Q240C6作为主控芯片,设计并实现了ITU-R BT.656视频数据的采集、色彩空间转换、DVI-I显示控制的数字视频转换系统。系统可以将传感器的输入图像以1280×960(60Hz)和 1280×1024(60Hz)格式输出到DVI-I显示器上,并具有图像静止功能,同时在系统空闲时,可以将系统设置为待机状态,来降低功耗。-Aimed at the digital image sensor MT9M111,used Cyclo
  3. 所属分类:Project Design

    • 发布日期:2017-03-27
    • 文件大小:228080
    • 提供者:将建
  1. FPGA

    0下载:
  2. 基于FPGA的直接数字频率合成器的设计和实现.采用DDS频率合成技术。-FPGA-Based Direct Digital Frequency Synthesizer Design and Implementation. Using DDS synthesizer technology.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:95233
    • 提供者:qs
  1. DDS

    0下载:
  2. 基于FPGA的直接数字信号合成器的代码 仅供大家参考-direct digital frequency sythesis based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1389952
    • 提供者:chjin
  1. 200M_DA_AD

    0下载:
  2. 自己编的,用FPGA实现软件DDS调幅。编程语言是VHDL。拿出来相互学习一下。-Own, and with FPGA AM DDS software. Programming language is VHDL. Look out to learn from each other.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:270981
    • 提供者:lixuedeng
  1. dds_easy

    1下载:
  2. 直接频率合成DDS模块的ise工程,可以直接下载,在Spartan3/Spartan3E上验证通过。该DDS模块可以产生双通道的不同频率的正弦波,也可以产生同频的任意相位差的相移波形。本模块累加器位数为32位,可以产生12位相位精度12位量化精度的正弦波。该设计例化一个Block Ram,为节省储存空间仅需要储存1/4周期的数据。根据需要,可以重新修改数据,改变波形。-DDS direct frequency synthesizer module ,ise project, can be dir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:470776
    • 提供者:郭先生
  1. dds_v3_test3

    1下载:
  2. DDS控制器在FPGA上的实现,使用Quartus II8.1开发环境,使用Altera 原理图设计方法,10位宽度,配合dac9-DDS controller in the FPGA on the realization of Quartus II8.1 use development environment, the use of Altera schematic design, 10-bit width, with dac900
  3. 所属分类:Other systems

    • 发布日期:2015-01-18
    • 文件大小:14858390
    • 提供者:张文
  1. dds_1024

    0下载:
  2. fpga实现DDS,1024个点,已通过Q2综合,绝对好用-fpga achieve DDS, 1024 points have been integrated through Q2, the absolute ease of use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:475321
    • 提供者:LiuYuan
  1. 20090903FPGA

    0下载:
  2. 传统的波形发生器采用模拟技术的方法,这种方法构成的波形发生器电路结构复杂,仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。而现在在高科技领域,我们需要的可能是一些任意波形,如在保密雷达发波等军事方面和地震波形、汽车碰撞波形等模拟仿真应用方面。任意波形发生器现在被广泛用于自动控制系统、振动激励、仪器仪表领域。我国目前在这方面还比较落后,特别是在用DDS技术实现任意波形发生器方面。本课题我们打算用DDS技术基于FPGA核心板设计一个任意波形发生器。该仪器我们用LabVIEW来写的控制面板实现与F
  3. 所属分类:SCM

    • 发布日期:2017-05-02
    • 文件大小:532439
    • 提供者:zhangying
  1. dds_vhdl

    0下载:
  2. fpga VHDL语言,控制DDS产生频率可变的正弦波信号扫频-FPGA VHDL DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2759851
    • 提供者:gaoshang
  1. dds

    0下载:
  2. 基于FPGA和DDS的正弦信号发生器程序-Based on FPGA and DDS sinusoidal signal generator program
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:368764
    • 提供者:huangfang
  1. FPGA_DDS

    2下载:
  2. 基于Cyclone EP1C6240C8 的AD9854 DDS的接口程序,使用FPGA来控制DDS信号的产生,从而达到高频信号产生的目的。 通过FPGA口线模拟AD9854的控制时序。 提供DDS信号波形变换、DDS频率调整、DDS内部比较器使用等功能。-Cyclone EP1C6240C8 of the AD9854 DDS-based interface program, use the FPGA to control the DDS signal generation, so a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-18
    • 文件大小:1826536
    • 提供者:icemoon1987
  1. dds

    0下载:
  2. 用vhdk编写的dds信号发生器的代码,用fpga实现dds功能-Dds with vhdk signal generator written in code, using fpga implementation dds feature
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:372219
    • 提供者:小陈
  1. DDS

    0下载:
  2. dds双通道波形发生器调制解调 fpga-dds sin cos phase
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:580178
    • 提供者:春天
  1. DDS

    0下载:
  2. 用FPGA实现的DDS信号发生器(ALtera的)-DDS signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3410
    • 提供者:正非
  1. DDS

    0下载:
  2. 基于FPGA的数字下变频器的研究及实现设计-FPGA-based digital down conversion of the study and design of
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:260941
    • 提供者:甜橙精灵
  1. DDS1-2

    1下载:
  2. 利用FPGA设计一个直接数字频率合成器(DDS),要求能够通过键盘设定输出正弦波、三角波和方波,输出波形频率由键盘输入设定,液晶显示屏显示输出波形类型和频率,输出频率范围10Hz-20kHz,步长0.5Hz。-FPGA design using a direct digital synthesizer (DDS), requires the ability to set the keyboard output sine wave, triangle wave and square wave ou
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1855933
    • 提供者:张箭箭
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 23 »
搜珍网 www.dssz.com