CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - delphi 自动

搜索资源列表

  1. Greek-Network-Dynamic-DNS-IP-update-tool

    0下载:
  2. 希网动态域名IP更新工具.自动更新3322的动态域名-Greek Network Dynamic DNS IP update tool. Automatic Updates 3322 dynamic domain name
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-03-30
    • 文件大小:225912
    • 提供者:余益
  1. QQ-number-of-automatic-application-device

    0下载:
  2. QQ号码自动申请器.能自动识别验证码.全自动申请-QQ number of automatic application device. Can automatically identify the Verification Code. Automatic application
  3. 所属分类:Grid Computing

    • 发布日期:2017-03-23
    • 文件大小:14619
    • 提供者:余益
  1. zdpp

    0下载:
  2. 实现象GOOGLE浏览器一样自动匹配输入字符-HEHEHE
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-28
    • 文件大小:146195
    • 提供者:杨子
  1. UPS_Auto_UPDOWN_USB

    0下载:
  2. 通过USB接口通信 实现USB UPS电源的自动开关机-Realize USB UPS Power Supply
  3. 所属分类:Delphi VCL

    • 发布日期:2017-03-26
    • 文件大小:286127
    • 提供者:徐华
  1. 2007103110586335

    0下载:
  2. 网络投票软件,Adsl自动拨号连接,根据设定时间自动拨号,断线,修改配置文件自动对指定网站投票-Internet voting software, automatic dial-up+ Adsl
  3. 所属分类:Communication

    • 发布日期:2017-04-24
    • 文件大小:469359
    • 提供者:
  1. FtpMager

    0下载:
  2. ftp服务器管理源码,使用了INDY组件,采组XML方式读取配置文件,同时连接FTP服务器成功后自动转到指定的目录上面-ftp server source code management, the use of the INDY components, mining group the way to read XML configuration file, at the same time to connect FTP server automatically go after the succ
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-26
    • 文件大小:359532
    • 提供者:软件工作
  1. libnat

    0下载:
  2. 功能非常强大的p2p通信库源码,用户需要通信时自动检测网关类型进行穿透连接。已经在成熟软件项目里使用。-that will offer Network Address Translation (NAT) traversal for routers that support UPnP. LibNat will support port forwarding and the retrieval of the public IP address of the router
  3. 所属分类:P2P

    • 发布日期:2017-03-27
    • 文件大小:517467
    • 提供者:杨刚
  1. Mine-NetSchedule

    0下载:
  2. 煤矿行业采掘接替计划自动生成系统,具有后台数据管理功能,运行于project97环境下-Coal mining industry plans to replace the system automatically generate a background data management features, runs on project97 environment
  3. 所属分类:Other systems

    • 发布日期:2017-04-28
    • 文件大小:444675
    • 提供者:陆后军
  1. OPSIA

    2下载:
  2. Open Planar Separation Image Analyzer(OPSIA)平面分离图像分析软件中包含的功能有,1D 平面分离图像(如TLC、凝胶电泳图等),可以自动分道和自动峰积分,可以3维化,可以将图像颜色通道分开来分析,可以将数据导出成*.dat文件,等等。里面包含非常多有用的色谱工作站的算法代码,如自动峰积分、最小二乘法等等。 -Open Planar Separation Image Analyzer (OPSIA) is a program for researche
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-02
    • 文件大小:867609
    • 提供者:fjdata
  1. EmailToGsm

    0下载:
  2. 邮件短信自动通知系统对串口的传输操作adsdeq-system
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-03
    • 文件大小:743327
    • 提供者:hexuming
  1. Propayment

    0下载:
  2. 专项拨款逐级下发系统的主要功能:对专项拨款申请系统审批通过的专项拨款进行资金下发和签收,系统通过前端通讯平台,自动接收由上一级部门下发的专项拨款信息,并可进行签收确认。-Earmarked for the next level hair core functions of the system: the system of special funding applications approved by the funds earmarked under the hair and the sig
  3. 所属分类:Database system

    • 发布日期:2017-05-11
    • 文件大小:2116557
    • 提供者:明月
  1. wy108

    0下载:
  2. Delphi IO模型开发的一个通讯的程序,检测在线状态,登陆,服务端自动发送广播消息。 -Delphi IO model development of a communications procedure, test online, landing, Service client automatically sends radio message.
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-16
    • 文件大小:76720
    • 提供者:飘雪青天
  1. vpn_client_create

    0下载:
  2. 创建vpn客户端的delphi源代码,命令行!创建以后自动拨号-Create a vpn client delphi source code, the command line! After the automatic creation of dial-up
  3. 所属分类:WinSock-NDIS

    • 发布日期:2016-01-26
    • 文件大小:13449
    • 提供者:89952392
  1. edit

    0下载:
  2. 以DELPHI书写之源码,形成一个MEMO框来显示按钮所选中之日期、星期、时间、日、月、年文字。其中,各个按钮是自动互锁的,日期是当前最新的。-DELPHI writing source code in order to form a box MEMO button to display the selected date, week, time, date, month, year language. One of the various buttons are automatic inter
  3. 所属分类:EditBox

    • 发布日期:2017-04-08
    • 文件大小:146966
    • 提供者:zhangf
  1. MP3Player

    1下载:
  2. 一个自动从百度搜索音乐并在线播放的小程序.多线程下载.-An automatic from the Baidu search for music and play online applet. Multi-threaded download.
  3. 所属分类:Audio program

    • 发布日期:2017-03-30
    • 文件大小:746383
    • 提供者:Johnson cao
  1. MultiMonitorDemo

    0下载:
  2. 多显示器编程demo 自动扩展程序Screencenter 和 destopcenter-MulitMonitorDemo Screencenter and destopcenter
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-24
    • 文件大小:186186
    • 提供者:zhang
  1. 88888888888888888888

    0下载:
  2. 模拟电话线路语音自动自动应答,呼叫转移;自动群拨,发送通知,播放录音通知,数据库电话管理,读记事本(安装语音库)-Analog phone line automatic automatic voice response, call forwarding auto-dial group, send a notice to inform the broadcast of audio, databases, telephone management, read Notepad (installed
  3. 所属分类:Communication

    • 发布日期:2017-06-10
    • 文件大小:17355866
    • 提供者:scl
  1. miniRAT

    0下载:
  2. 一款小巧的远程控制软件,整个源码只有61 KB,带自动上线!国外的原版,全英文。功能还是很强大的!- (A small source-modification to fix the processlist, multidownload errors.) miniRAT 0.5 Open-Source code Coded for sweRAT competition Coded by p0ke (http://p0ke.no-ip.com) Sho
  3. 所属分类:Remote Control

    • 发布日期:2017-04-02
    • 文件大小:63139
    • 提供者:阿狼
  1. IPphone2.0

    0下载:
  2. 使用PCM采集数据G711A压缩格式 (8000HZ单声道16位格式录音每秒以8K完成数据,16000HZ单声道 16位格式录音每秒以11K完成数据,音质相当好),延时降低到最小 100-500MS以内,不会随时间增加而增加延时(如果是说话测试一直保持 200MS的延时,如果是用播放歌曲来测试,有自动校正延时功能,恢 复成200MS的延时,恢复过程中不会中断歌曲的播放,只是小小加快唱 歌的速度,听觉不会觉察出来),加入了过滤杂音功能.-ip phone rec
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:461435
    • 提供者:bruce
  1. wzq

    0下载:
  2. 五子棋游戏系统,程序能够给出提示当前应当哪方落子,自动判断棋局胜负,统计胜负比例,为落子一方计时。-Gobang Game systems, procedures should be able to give tips to which side the current落子automatically determine the game outcome, the proportion of statistical results for one time落子.
  3. 所属分类:Delphi VCL

    • 发布日期:2017-04-26
    • 文件大小:9736
    • 提供者:黄雪
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 40 »
搜珍网 www.dssz.com