CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - floating-point

搜索资源列表

  1. 1.rar

    0下载:
  2. 用C#实现把一个十进制的浮点数转化为基于IEEE754浮点数存储规范的二进制表示形式,Using C# to achieve a floating point decimal floating-point numbers into memory based on a standardized IEEE754 binary representation
  3. 所属分类:Other systems

    • 发布日期:2017-04-03
    • 文件大小:999
    • 提供者:育林居士
  1. kiss_fft_v1_2_8.zip

    1下载:
  2. 大名鼎鼎的kiss fft算法,其中有针对浮点运算的优化,以及针对定点运算的优化,The famous kiss fft algorithm, which optimized for floating-point operations, as well as the optimal fixed-point computation
  3. 所属分类:数学计算/工程计算

    • 发布日期:2013-01-03
    • 文件大小:55334
    • 提供者:张三
  1. 2812_IQMATH.zip

    0下载:
  2. TMS320C2812 的虚拟浮点处理库,IQMATH的使用说明,对使用2812 DSP非常有用处,,TMS320C2812 floating-point processing of the virtual library, IQMATH instructions on the use of 2812 DSP is very useful,
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-05-03
    • 文件大小:1421369
    • 提供者:shitaijia
  1. vhdl.rar

    0下载:
  2. 该pdf 详细的介绍了 浮点小数的计算法则,和在vhdl程序中 浮点小数的表示方法,和乘除法的运用 希望对大家有用,The pdf in detail the calculation of the decimal floating-point rules, and procedures in vhdl decimal floating-point method, and the use of multiplication and division for all of us hope tha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:207473
    • 提供者:gjp_rain
  1. IEEE754.zip

    0下载:
  2. 依據IEEE-754 浮點數標準,將32 bit的Hex,轉換為浮點數,From 32-bit Hexadecimal Representation To Decimal Floating-Point for the IEEE-754 floating-point standard
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:35551
    • 提供者:lan_chia_fan
  1. arm-softfloat-linux-gnu-3.4.5.tar.bz2

    1下载:
  2. 支持软浮点编译的arm-softfloat-linux-gnu-3.4.5.tar.bz2,可直接解压至/opt/下,然后修改环境变量即可使用。-Soft floating point support compiled arm-softfloat-linux-gnu-3.4.5.tar.bz2, directly extract to/opt /, and then modify environment variables can be used.
  3. 所属分类:编译器/词法分析

    • 发布日期:2014-03-01
    • 文件大小:40043720
    • 提供者:jiangyu
  1. rgb2yuv1

    0下载:
  2. 这个主要是实现RGB和YUV两种色彩空间的转换,其中用到的主要思想是,verilog语言中的浮点乘法怎么运算,流水线的思想。-This is achieved mainly two kinds of RGB and YUV color space conversion, which uses the main idea is, verilog language how floating point multiplication operations, lines of thought.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1088378
    • 提供者:张元甲
  1. freadFloat

    0下载:
  2. 读取txt文件中的浮点数据,涉及fread函数,及fseek函数的使用。-Txt file to read floating point data, involving fread function, and the use of fseek function.
  3. 所属分类:File Operate

    • 发布日期:2017-04-03
    • 文件大小:655
    • 提供者:liwenwen
  1. SegyExample

    0下载:
  2. 写地震数据SEGY格式的文件,可以支持整型和浮点格式。-Write SEGY seismic data file format can support integer and floating point formats.
  3. 所属分类:Energy industry

    • 发布日期:2017-04-01
    • 文件大小:8417
    • 提供者:hehe20070101
  1. CUDA

    0下载:
  2. 大量平行處理器程式設計訓練課程Programming Massively Parallel Processors: the CUDA experience -Introduction and Motivation The CUDA Programming Model CUDA Memories CUDA Threads Performance Tuning Floating-Point Considerations and CUDA for MultiCore CPU A
  3. 所属分类:File Formats

    • 发布日期:2017-05-22
    • 文件大小:7120123
    • 提供者:徐草
  1. gmp-5.0.2

    0下载:
  2. GMP 高精度运算工具包 运算不再受精度和值域的限制。-GMP is a free library for arbitrary precision arithmetic, operating on signed integers, rational numbers, and floating point numbers. There is no practical limit to the precision except the ones implied by the available me
  3. 所属分类:Data structs

    • 发布日期:2017-05-13
    • 文件大小:3446347
    • 提供者:李海涛
  1. calculator

    0下载:
  2. 基于51单片机和液晶1602的简易计算器,可进行加减乘除浮点型运算和清除、即时显示等功能-51 single-chip microcomputer and LCD based on the 1602 simple calculator, can add, subtract, multiply and divide floating point operations and clear, type instantly shows etc. Function
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:2119
    • 提供者:zhuhanghua
  1. NumberLed

    0下载:
  2. 仿数码管显示仪表的空间,可以显示浮点数和日期,可根据参数修改控件的显示状态,是一个完整的C++ACTIVEX 控件,通过此控件,可以完全了解 ACTIVEX 的工作过程-Imitation digital display meter of space, you can display floating-point numbers and dates, according to the display of the control parameters to modify the state, i
  3. 所属分类:ActiveX-DCOM-ATL

    • 发布日期:2017-04-02
    • 文件大小:71858
    • 提供者:liushenwen
  1. HexToFloat.rar

    0下载:
  2. 这个小工具可以实现浮点数(4个字节)和实数之间的转换。,This gadget can achieve floating-point numbers (4 bytes) and the conversion between real numbers.
  3. 所属分类:Other windows programs

    • 发布日期:2016-01-25
    • 文件大小:59441
    • 提供者:jixina
  1. Hi-tech_PICC9.50.rar

    0下载:
  2. 软件简介:HI-TECH PICC 是一款高效的C编译器,支持Microchip PICmicro 10/12/14/16/17系列控制器。是一款强劲的标准C编译器,完全遵守ISO/ANSI C,支持所有的数据类型包括24 and 32 bit IEEE 标准浮点类型。智能优化产生高质量的代码。属于第三方开发工具。能和MPLAB整合,内嵌开发环境(HI-TIDE)。 Hi-tech PICC Compiler v8.注册码 Serial: HCPIC-88888 First Na
  3. 所属分类:软件工程

    • 发布日期:2013-04-11
    • 文件大小:5121852
    • 提供者:李武
  1. 18B20+1602液晶计算器

    1下载:
  2. 本系统采用51单片机和1602液晶做成液晶计算器液晶显示计算的结果,并且可以支持浮点运算和三角函数,通过DS18B20可以实时测温。,The system uses a 51 and 1602 single-chip liquid crystal display calculated results, and can support the floating-point operations and trigonometric functions, through DS18B20 real-ti
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2016-02-16
    • 文件大小:26939
    • 提供者:付华东
  1. SubClass.rar

    0下载:
  2. 编辑框的子类化问题,限制在编辑框中只允许输入浮点数.,Edit box of the sub-class problem, limited to the edit box to allow only the importation of floating-point numbers.
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-24
    • 文件大小:14190
    • 提供者:花吹雪
  1. float

    0下载:
  2. 符合IEEE754标准的16进制转浮点数代码-IEEE754-compliant floating-point standard hex code switch
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-05
    • 文件大小:199838
    • 提供者:陈科
  1. libffmpeg

    0下载:
  2. mplayer调用的ffmpeg,将浮点解码改为定点解码库来播放wma音频流。-Mplayer calls ffmpeg, the floating-point decoding were replaced by site-directed decoding library to play wma audio stream.
  3. 所属分类:Multimedia Develop

    • 发布日期:2017-04-10
    • 文件大小:1531197
    • 提供者:jt
  1. synth_fft

    0下载:
  2. 甘地大学电子专业Ray Ranjan Varghese设计的FPGA实现FFT,采用的是单精度的浮点,采用IEEE745格式的浮点+ROM RAM的方式成功实现FFT,含有设计报告和设计源代码,并有测试文件,真的很不错。 -Gandhi University of Electronic Design Professional Ray Ranjan Varghese FPGA realization of FFT, using a single precision floating-point,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:418973
    • 提供者:何渊泽
« 1 2 ... 8 9 10 11 12 1314 15 16 17 18 ... 50 »
搜珍网 www.dssz.com