CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga 数据

搜索资源列表

  1. ethernet_loopback

    0下载:
  2. 通过FPGA驱动千兆以太网口,完成SPARTAN6上的UDP数据包闭环测试,即通过网口发送数据包到FPGA,FPGA内部将接收到的数据返回到PC机,建议测试之前添加ARP静态绑定,FGPA内部的IP以及MAC地址在ROM里的COE文档里可以看到,发送端添加了CRC以及整体CHECKSUM的计算(Driven by FPGA Gigabit Ethernet port, UDP SPARTAN6 data packet on the closed loop test, through the ne
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-05
    • 文件大小:23942144
    • 提供者:marktuwen
  1. uart_test

    0下载:
  2. 通过FPGA,实现串口传输数据,并且可以支持多种不同的波特率,用EP4CE22F17芯片实现。(Through the FPGA, serial transmission data, and can support a variety of baud rates, using EP4CE22F17 chip implementation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:2048
    • 提供者:y1a2n3g4
  1. spi_no_cs_13

    0下载:
  2. FPGA作为从机与STM32的全双工通信,FPGA将接收到STM32的数据返回到STM32,Modelsim仿真和板子仿真都通过(Use FPGA as slave,realize the communication between FPGA and STM32. The function has been tested is no problem.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:14403584
    • 提供者:Pgaf
  1. tx_rx_fifo

    0下载:
  2. 通过串口将接收到的数据存入fifo,fifo存满后使能串口发送功能,将接收到的数据发送出去(Use fifo to realize the receive and send function of the uart. The function is no problem.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:3791872
    • 提供者:Pgaf
  1. ad_prj1.4.3

    1下载:
  2. AD采集固定点数FPGA对采集数据进行指定次数累加,存储至片外SRAM并等待上位机发送取数据指令(The AD acquisition fixed point number FPGA adds the number of data to the collected data, stores it to the outside SRAM and waits for the upper computer to send the data instruction)
  3. 所属分类:其他

    • 发布日期:2018-01-06
    • 文件大小:40486912
    • 提供者:爱绒雪
  1. AD_FPGA_DSP

    0下载:
  2. 使用FPGA(alteral 类型的飓风四代)控制ADS8364进行数据的采集。但是运行后,运行结果显示会有数据乱窜现象,希望不是程序的问题。(provide a program (writing with Verilog HDL language) to control ADS8364 with FPGA.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:7325696
    • 提供者:冰棍儿
  1. UART

    1下载:
  2. 自己写的uart实验程序,可通过按键选择波特率2400/4800/9600/19200,并通过数码管显示当前波特率。每按一次按键发送一帧数据,并通过两位数码管显示发送数据。可供新手学习。(The UART experiment program you write can select the baud rate 2400/4800/9600/19200 by key and display the current baud rate through the digital tube. Each
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:6758400
    • 提供者:军犬
  1. PCI_SEND

    0下载:
  2. 通过PCI数据传输总线,实现PC到PCI板卡的高速数据传输,PCI总线使用9054芯片进行总线协议的转换(Realizing data transmission of PCI)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:553984
    • 提供者:踏破残空
  1. ezidebug-code

    0下载:
  2. Ezidebug 支持Xilinx,chipscope 寄存器链插入、数据采集和导出、重建testbench和软件仿真验证(Ezidebug supports Xilinx, chipscope register chain insertion, data acquisition and export, reconstruction of testbench and software simulation verification)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:339968
    • 提供者:vickbupt
  1. 信号分析与处理——MATLAB语.part1

    1下载:
  2. ① Verilog的抽象级别 ② Verilog的模块化设计 ③ 如何给端口选择正确的数据类型 ④ Verilog语言中latch的产生 ⑤ 组合逻辑反馈环 ⑥ 阻塞赋值与非阻塞赋值的不同 ⑦ FPGA的灵魂状态机 ⑧ 代码风格的重要性((1) the abstract level of Verilog The modular design of Verilog How to select the correct data type for the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:1457152
    • 提供者:mmelody
  1. 现代信号处理2014真题

    0下载:
  2. 网易旗下利用大数据技术提供移动互联网应用的子公司,过去8年,先后推出有道词典、有道翻译官、有道云笔记、惠惠网、有道推广、有道精品课、有道口语大师等系列产品。(NetEase's subsidiary of big data technology provides mobile Internet applications. In the past 8 years, it has launched products such as Youdao Dictionary, Youdao translat
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-19
    • 文件大小:112640
    • 提供者:兵880
  1. 图漾FM810

    0下载:
  2. 范围3d数据,用来做自动驾驶和自主飞行。。。。。。。。。。。。。(Range 3D data for autopilot and autonomous flight)
  3. 所属分类:3D图形编程

    • 发布日期:2018-04-20
    • 文件大小:670720
    • 提供者:ramemory
  1. 大数据夏令营2017

    0下载:
  2. kkhkknknkllnkbcgggfgtukl
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-21
    • 文件大小:42514432
    • 提供者:miemiemie
  1. 八路AD采集adc128s022

    1下载:
  2. FPGA AD采集八路数据,12位分辨率,小梅哥FPGA的开发程序,实测可用(FPGA AD collects eight path data, 12 bit resolution, and the development program of Mayo FPGA.)
  3. 所属分类:书籍源码

    • 发布日期:2018-04-22
    • 文件大小:6685696
    • 提供者:moduleandend
  1. MPU6050

    0下载:
  2. FPGA 控制MPU6050陀螺仪传感器,通过串口把数据打印出来(FPGA controls the MPU6050 gyroscope sensor and prints out the data through the serial port)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:3835904
    • 提供者:moduleandend
  1. sf2_igl2_ds_v1

    0下载:
  2. Microsemi IGLOO2系列FPGA器件的数据手册,很全面。(Microsemi FPGA datasheet.)
  3. 所属分类:其他

    • 发布日期:2018-04-22
    • 文件大小:3204096
    • 提供者:wanjaw
  1. Verilog的边沿检测技术_设计源代码

    0下载:
  2. 波形数据上升下降沿的检测程序,已经经过仿真验证(The detection program of the rising descending edge of the waveform data has been verified by simulation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:36864
    • 提供者:gxgone
  1. 以太网调试FPGA程序

    0下载:
  2. 以太网调试FPGA程序 发送后收回所发送的数据
  3. 所属分类:硬件设计

  1. ex_cordic

    0下载:
  2. 在FPGA中将产生的直角坐标数据经过cordic算法,转换成极坐标数据,获得相位数据(The Cartesian coordinates generated in FPGA are converted into polar coordinate data through CORDIC algorithm, and phase data is obtained.)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:1516544
    • 提供者:楚天阔
  1. exp_fft_test_724

    0下载:
  2. 在quartus软件中调用FFT的IP核,编辑IP核的驱动模块,使得IP核读入数据进行处理,输出数据。使用modelsim进行联合仿真。(In the quartus software, the IP kernel of FFT is called, and the driver module of the IP kernel is edited, so that the IP kernel is read into the data for processing and output data
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:27558912
    • 提供者:XHF72
« 1 2 ... 7 8 9 10 11 1213 14 15 16 17 ... 48 »
搜珍网 www.dssz.com