CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga 数据

搜索资源列表

  1. xfer_function1

    1下载:
  2. matlab 数据处理 fpga 的sg 程序 类似于DSP的C语言程序 大家分享-they simply Matlab data processing procedures similar to the sg DSP C language program to share with you! !
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1560
    • 提供者:吴建飞
  1. 曼彻斯特码

    1下载:
  2. 今天看了一下从fpga上下的曼彻斯特编解码的程序,感觉不是很清楚,仿真了一下,更迷茫了,大家看看为啥这程序要这么编呢? 程序比较长,不过写的应该还是不错的,看了后应该有收获。 总的思路是这样: 1 通过一个高频的时钟检测wrn信号,如果检测到上升沿,则表明开始编码,将输入的8位数据转为串行,并编码,然后输出。 2 定时信号是从高频时钟16分频后得到的,在wrn上升沿后16分频使能,在编码结束后禁止分频输出。 3 no_bits_sent记录串行输出的位数,应该是从0010到1
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:5232
    • 提供者:游畅
  1. digitalinterfaceuart

    0下载:
  2. 文件说明了在fpga/cpld中怎样实现数据接口及其实例了urat-note of the document they simply / cpld How Data Interface and the examples of urat
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2954
    • 提供者:liu
  1. videodigitalsignalscontroller

    0下载:
  2. 用fpga技术实现基本的视频信号处理:主题程序;视频图象数据采集程序;sram的读写控制;测试程序-they simply use the basic technology of video signal processing : theme; Video data acquisition procedures; SRAM literacy control; test procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:8782
    • 提供者:yan
  1. serial_ppga

    0下载:
  2. 异步串口通信口在FPGA实现,功能有(1)串行数据接收的同步控制;(2) 串行数据发送的同步控制-asynchronous serial communication port of the FPGA, function (1) serial data receiver synchronization control; (2) the transmission of serial data synchronization control
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:199862
    • 提供者:孙洪亮
  1. ps2_vhdl

    0下载:
  2. 利用vhdl实现FPGA芯片从PS2键盘读出数据(0-F) 并在数码管上显示 -use FPGA chip from the PS2 keyboard sensed data (0-F) and displayed on a digital control
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:875
    • 提供者:刘音
  1. fftfpga

    0下载:
  2. 采用按时间抽选的基4原位算法和坐标旋转数字式计算机(CORDIC)算法实现了一个FFT实时谱分析系统。整个设计采用流水线工作方式,保证了系统的速度,避免了瓶劲的出现;整个系统采用FPGA实现,实验表明,该系统既有DSP器件实现的灵活性又有专用FFT芯片实现的高速数据吞吐能力,可以广泛地应用于数字信号处理的各个领域。-time selected by using the in-situ-4 algorithm and coordinate rotation digital computer (CO
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:390425
    • 提供者:yaoming
  1. FPGAEPP.files

    0下载:
  2. USB、串口、并口是PC机和外设进行通讯的常用接口,但对于数据量大的图像来说,若利用串行RS-232协议进行数据采集,速度不能达到图像数据采集所需的要求;而用USB进行数据采集,虽能满足所需速度,但要求外设必须支持USB协议,而USB协议与常用工程软件的接口还不普及,给使用带来困难。有些用户为了利用标准并行口(SPP)进行数据采集,但SPP协议的150kb/s传输率对于图像数据采集,同样显得太低。因此,为了采集数据量大的图像数据,本文采用了具有较高传输速率的增强型并行口协议(EPP)和FPGA,
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:82200
    • 提供者:yaoming
  1. Ycrcb2rgb

    0下载:
  2. VHDL代码,在fpga上实现图像数据从ycbcr到rgb的转换-VHDL code in fpga on the image data from the ycbcr to rgb conversion
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:42158
    • 提供者:jihuijie
  1. UART_ise7_bak

    1下载:
  2. 用FPGA 实现全双工异步串口(UART),与PC 机通信。1 位起始位;8 位数据位;一个停止位;无校验位;波特率为2400、4800、9600、11520 任选或可变(可用按键控制波特率模式)。-using FPGA full-duplex asynchronous serial port (UART), and PC communication. An initiation; 8 data spaces; One-stop; No Parity; Baud Rate for 2400,48
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:33179
    • 提供者:lee
  1. usb2[1].0

    0下载:
  2. usb源码下载,是基于windows开发平台的,通过FPGA加以仿真验证。可以进行数据的传输-usb download the source code is based on the Windows platform, to be adopted FPGA simulation. Can be the transmission of data
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:197895
    • 提供者:郑千洪
  1. cmos_FPGA

    0下载:
  2. 采用Verilog语言,实现了FPGA控制视频芯片的数据采集,并将数据按帧存储起来-Verilog language, to achieve control of the FPGA chip video data acquisition, Data will be stored up by frame
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1592
    • 提供者:margie
  1. SDI_controller

    2下载:
  2. 项目:用到FPGA驱动GV7600输出SDI信号,输出分辨率1920*1080p,首先,了解GV7600芯片的特性功能,按照bt1120协议传输10位Y,Cb,Cr数据;其次,我的项目中用的是10位通道分时复用传输Y,Cb,Cr数据;配置引脚很重要,当初verilog代码写好了,因为硬件引脚配置错误,导致调试一直不通;同时,sof文件也要一直更新(Based on FPGA to design the drive controller of GV7600)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-09-13
    • 文件大小:2596864
    • 提供者:Davemissyou
  1. bt656_decode

    1下载:
  2. 将嵌入式BT656格式数据解码出带行场同步信号的YCbCr422格式数据(Decoding Embedded BT656 Format Data to YCbCr422 Format Data with Field Synchronization Signa)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-10-22
    • 文件大小:1024
    • 提供者:magic_v5
  1. 基于ov7670摄像头的VGA显示(FPGA)

    0下载:
  2. 系统上电后,先配置 OV7670 的寄存器,配置完后实时采集OV7670 的输出图像存储到DDR2 中,再实时的读出图像数据到 VGA 显示器中显示。代码采用verilog编写,适合开发者学习参考。
  3. 所属分类:源码下载

  1. 米联客FPGA学习资料

    1下载:
  2. 米联客FPGA学习资料,针对米联客FPGA,7020,7035等,学习数据通路
  3. 所属分类:编程文档

  1. 串口电压表VHDL

    1下载:
  2. 使用 AD 转换器 TLV1570,将 0-2.5V 的电压转换成 10 位二进制结果,再将 10 位二进制结果转换成 4 位 BCD 码 (整数部分 1 位,小数部分 3 位),并通过 UART 串口将数据送上位机 (电脑)进制显示(Serial port voltmeter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-16
    • 文件大小:4210688
    • 提供者:LB明
  1. 基于 FPGA 的 MIPI CSI-2 图像采集系统设计

    0下载:
  2. CSI ( Came r a Se r i al I nt e r f ac e 1 是 由 MI PI( Mobi l e I n dus t r y Pr o ce s s o r I nt er f ac e) 联盟下 Came r a工作组制定的 接 口标准 ,是 MI PI联盟发起的为移动应用处理器制定的 开放标准 , MI PI联盟由 ARM、 诺基亚、意法半导体和德州 仪器 发起成 立 , 作为移动行业领导者的合作组织 ,MI PI联 盟 旨在确定并推动移动应用处理
  3. 所属分类:报告论文

  1. ac620_calculator_key_board

    1下载:
  2. 基于Verilog编写的计算器,使用矩阵键盘输入数据,使用数码管显示运算过程和结果,基于小梅哥AC620开发板验证通过(The calculator based on Verilog uses matrix keyboard to input data and digital tube to display the operation process and results. The development board based on little mac620 passed the veri
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-05-07
    • 文件大小:40960
    • 提供者:小梅哥fpga
  1. zynq axi dma驱动程序

    0下载:
  2. 基于zynq嵌入是linux axi dma驱动程序代码,可利用axi总线实现arm与fpga数据交互。
  3. 所属分类:嵌入式Linux

    • 发布日期:2021-09-12
    • 文件大小:1895
    • 提供者:hzg249332062
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 48 »
搜珍网 www.dssz.com