CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga 编码

搜索资源列表

  1. rs_encoder

    0下载:
  2. RS编码器的fpga实现,有TESTBench-RS encoder to achieve the fpga, and TESTBench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-03-31
    • 文件大小:4710
    • 提供者:王野
  1. ADPCM_audio_codec

    1下载:
  2. ADPCM语音编解码电路设计及FPGA实现。利用FPGA进行ADPCM编码与解码。-ADPCM voice codec circuit design and FPGA realization. FPGA for use ADPCM encoding and decoding.
  3. 所属分类:Multimedia program

    • 发布日期:2017-03-26
    • 文件大小:126262
    • 提供者:水牛EDA
  1. ENDAT2.2

    5下载:
  2. 海德汉最新的ENDAT2.2协议规范,用于单圈和多圈的绝对值编码器上。-Heidenhain ENDAT2.2 the latest protocol specification for single-loop and multi-ring on the absolute value encoder.
  3. 所属分类:File Formats

    • 发布日期:2017-03-24
    • 文件大小:856196
    • 提供者:王中超
  1. cc_encode

    2下载:
  2. 卷积码,并行编码,FPGA,通过了测试验证-CC Code, Parallel Coding, FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-19
    • 文件大小:1243
    • 提供者:天之痕
  1. h

    0下载:
  2. huffman编码的vhdl语言实现 课程设计做的 有点用的-huffman coding vhdl language curriculum design to achieve a little bit to do with the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:292500
    • 提供者:africanz
  1. CMMB_LDPC

    0下载:
  2. 一篇关于CMMB中LDPC编码译码的论文,解释得很详细,还有硬件(FPGA)实现方法-CMMB in an article on decoding LDPC coded paper to explain it in detail, as well as the hardware (FPGA) Implementation Method
  3. 所属分类:Communication

    • 发布日期:2017-05-20
    • 文件大小:6099130
    • 提供者:王家祥
  1. mancodec

    0下载:
  2. 曼彻斯特编码器与译码器 FPGA嵌入式项目开发-mancodec fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:186329
    • 提供者:asd
  1. HDB3

    0下载:
  2. HDB3编码器与译码 HDB3编码器与译码-HDB3 encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:266187
    • 提供者:一天
  1. crc16_8

    0下载:
  2. crc16,数据位宽为8,verilog编码-crc16 ,datawidth is 8,coding by verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1219
    • 提供者:chenk
  1. TheRealizationofAdaptiveArithmeticCoderWithFPGA.ra

    0下载:
  2. 本文又用C语言实现了标准的自适应算术编码,拿它与用FPGA实现的改进后的自适应算术编码的仿真结果对比验证了这种改进后编码器编码的正确性。此种结构的编码效率很高,一个时钟编码一个数据比特,时钟频率可以达到50MHZ,占用的硬件资源大约有800个CLB(可配置逻辑模块)。-This thesis realizes the adaptive arithmetic coding which is not improved with C language,compare with the result o
  3. 所属分类:Project Design

    • 发布日期:2017-05-12
    • 文件大小:2651503
    • 提供者:mabeibei
  1. 122222222FFT

    0下载:
  2. 基于FPGA的FFT编码器和译码器的实现源代码-the decoder and encoder based on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1860
    • 提供者:ganzhhua
  1. RS3123

    0下载:
  2. Reed- So lomon (RS) 码是一种重要的纠错码, 它对随机性和突发性错误有极强的纠错能力, 广泛应用于 数字视频广播(DVB) 系统和其它数字通信领域。给出了一种GF (25) 域上的RS (31, 23) 编码器的实现算法, 介绍 了用现场可编程门阵列(FPGA ) 实现RS 编码器的原理和过程, 并给出了实现电路及其仿真的输出波形。-Reed-So lomon (RS) code is an important error-correcting code, its ra
  3. 所属分类:Communication

    • 发布日期:2016-03-31
    • 文件大小:360448
    • 提供者:王彬
  1. 123

    0下载:
  2. 将通过仿真的VHDL 程序下载到FPGA 芯片EPF10K10LC84-3 上,取得了较为满意的结果。本设计选择的(3,1,2)卷积码和(2,1,1)卷积码,都是极具代表性的卷积码。因为卷积码具有相似的结构和特点,所以(3,1,2)卷积编码器和(2,1,1)卷积解码器的设计思想,具有普遍适用性。-Through the simulation of the VHDL program downloaded to the FPGA chip EPF10K10LC84-3, the obtained s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:5187
    • 提供者:王彬
  1. juanji

    0下载:
  2. FPGA的卷积编码小程序,VHDL描述,参数为2,1,7.-2,1,7 cov with VHDL.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:762
    • 提供者:xhnhd
  1. dif_jiaorao

    1下载:
  2. FPGA适用的加扰和差分编码程序,VHDL描述,适用于Xilinx FPGA-for Xilinx FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-22
    • 文件大小:1024
    • 提供者:xhnhd
  1. mcsdte

    0下载:
  2. FPGA嵌入式项目实战,曼彻斯特编码器与译码器-FPGA embedded project combat, Manchester encoder and decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:186760
    • 提供者:lan tian
  1. FPGA

    0下载:
  2. 其中包含一些以前学习时写过的各种加法器和HDB3编码,以及状态机的一些题目-Which contains a number of previously written a variety of learning adder and HDB3 encoding, and the state machine of some of the topics
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2232624
    • 提供者:yuandb
  1. verilog_Manchester

    0下载:
  2. 曼彻斯特码编码电路,在工业电路中有较好的抗干扰性,而且编码电路简单,容易在FPGA上实现-Manchester encoding circuit, the circuit in the industry in a better anti-interference, and the coding circuit is simple, easily implemented on FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1354
    • 提供者:史江城
  1. OFDM_FPGA

    1下载:
  2. OFDM的FPGA实现 内含卷积编码 交织,频偏检测 完整的OFDM实现代码 -The FPGA contains OFDM convolutional coding to achieve interleaving, OFDM frequency offset detecting the full implementation code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2271386
    • 提供者:何渊泽
  1. FPGA-can_1553b

    0下载:
  2. 基于fpga 的航空总线设计资料 MIL_STD_1553B总线设计系统 曼彻斯特码的编码-Fpga-based design information MIL_STD_1553B air bus system bus design, etc. Manchester encoding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:47540265
    • 提供者:李继锋
« 1 2 34 5 6 7 8 9 10 ... 15 »
搜珍网 www.dssz.com