CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga pwm

搜索资源列表

  1. MCU_V_PWM_16bit

    0下载:
  2. 单片机通过总线,将占空比和频率送到CPLD/FPGA中,并控制PWM输出.采用Verilog HDL语言编写。-Microcontroller by bus, the duty cycle and frequency sent to the CPLD/FPGA in, and control the PWM output. Using Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:255339
    • 提供者:zhouming
  1. PWMcore

    1下载:
  2. 基于xilinx FPGA软核microblaze编写的PWM波产生IP核,在EXCD开发板上调试通过,内附UCF文件和说明-it s an IP core based on microblaze,it can produce pwm wave.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:17748
    • 提供者:JK
  1. PWM_DA

    1下载:
  2. 可以产生PWM波形文件 ,熟悉基于FPGA的开发流程 自己写的程序-PWM waveform files can be generated, FPGA-based development process familiar to write their own programs
  3. 所属分类:VHDL编程

    • 发布日期:2014-02-23
    • 文件大小:196478
    • 提供者:冯超
  1. pwm_timer

    0下载:
  2. PWM和Timer的FPGA实现,文档代码齐全。-PWM and Timer for FPGA implementation, documentation, code complete.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:271429
    • 提供者:kele
  1. FPGAspwm

    0下载:
  2. 在Xilinx公司的Spartan ⅡE系列的XC2S100E pq-208 FPGA芯片上完成PWM波和SPWM波控制信号,控制电力电子器件IGBT和MOSFET构成的斩波、逆变输出电路,实现直流稳压和SPWM交流调频输出。-In Xilinx' s Spartan Ⅱ E Series XC2S100E pq-208 FPGA chip to complete SPWM wave PWM control signal wave and control the power electro
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:4187
    • 提供者:申小强
  1. pwmtest

    0下载:
  2. 赛灵思fpga 通过PWM的方式实现软件DA输出正弦波-fpga pwm da
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:223060
    • 提供者:王明
  1. pwm_out

    0下载:
  2. PWM输出控制LED显示,由两个按键控制FPGA输出脉冲的占空比,脉冲去直接驱动发光二极管LED,随占空比不同,LED的亮度也不同-PWM output control LED display, two buttons control the FPGA output pulse duty cycle, pulse to directly drive LEDs LED, with different duty cycle, LED brightness is different
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:40423
    • 提供者:王砂
  1. PWM_LED

    0下载:
  2. 实用FPGA的PWM带LED显示验证,经过开发板验证功能。-Practical FPGA-PWM with LED display validation, validation through the development board.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10487433
    • 提供者:junlee
  1. pwm

    0下载:
  2. 可以利用这个程序 fpga 产生 pwm 波形-Fpga generate pwm waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:646
    • 提供者:姚鹏
  1. PWM-waves-generated-module-VHDL-code

    0下载:
  2. 此为基于FPGA的直流伺服系统的设计,具体为PWM波生成模块的VHDL代码-This is the dc servo system based on FPGA design, specific for PWM waves generated module VHDL code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:9084
    • 提供者:黄平
  1. PWM

    1下载:
  2. 基于FPGA的占空比可调以及频率可调的PWM波发生器的设计实现-FPGA-adjustable duty cycle and frequency adjustable PWM waveform generator-based design implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:331679
    • 提供者:钟维
  1. PWM

    0下载:
  2. 基于FPGA EPM1270芯片板子的PWM程序,已通过测试,正常使用,引脚已配好-PWM program based on FPGA EPM1270 chip board, has been tested, and normal use, the pin with a good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:151945
    • 提供者:周涛
  1. PWM

    0下载:
  2. verilogHDL语言编写,简单的FPGA脉冲程序,初学者必备。-verilogHDL language, a simple FPGA pulse program, beginners must.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-10
    • 文件大小:3140
    • 提供者:
  1. test-pwm

    0下载:
  2. FPGA 生成PMW波及其测试程序 使用modelsim se版本10.0测试可用-The FPGA generates the PMW wave and its test program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:3230203
    • 提供者:曹晶晶
  1. PWM-design-Based-on-FPGA

    0下载:
  2. 本设计是基于FPGA控制的PWM信号输出系统,以EP3C5E144C8芯片为核心,通过参考信号和输入信号在计数器中的比较来实现占空比、频率可调的脉冲宽度调制信号-The design is FPGA-based control of the PWM signal output system, to EP3C5E144C8 chip as the core, to achieve adjustable duty cycle, frequency, pulse width modulation si
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:6710438
    • 提供者:席晓明
  1. PWM-LAB-1

    0下载:
  2. PWM design using fpga
  3. 所属分类:matlab

    • 发布日期:2017-11-17
    • 文件大小:852323
    • 提供者:zaihah
  1. pwm

    0下载:
  2. 使用Altera公司的FPGA的软化,利用NIOS完成PWM功能-Using Altera' s FPGA softening, use NIOS complete PWM function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:2419
    • 提供者:马福博
  1. PWM

    2下载:
  2. FPGA产生PWM波控制小车,Verilog语言编写,实现简单的正、反、停控制-FPGA generate PWM wave to control the car, Verilog language, to achieve a simple positive and negative, stop control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:272532
    • 提供者:姜敏敏
  1. FPGA-based-PWM-generator

    0下载:
  2. 基于FPGA的PWM发生器,将所需的正弦波和三角波转化为数据文件,存入存储器中,用计数器逐一读取产生波形-FPGA-based PWM generator, the desired sine wave and triangular wave into a data file into memory, and one by one to read the counter generates a waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1966529
    • 提供者:
  1. FPGA-based-PWM-generator--Design

    0下载:
  2. 基于FPGA的PWM发生器所对应的论文,详细阐述整个设计的思路和过程-FPGA-based PWM generator corresponding to the paper, the whole elaborate design ideas and processes
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:245634
    • 提供者:
« 1 2 34 5 6 7 8 »
搜珍网 www.dssz.com