CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga sram

搜索资源列表

  1. memio

    0下载:
  2. 最新VHDL 模块,实现对SRAM的控制,能直接用在ALTEAR XILLIX 等 FPGA上,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:7415
    • 提供者:骑士
  1. FPGA_SDR_Sdram_LED

    0下载:
  2. 针对主控制板上存储器(SRAM) 存储的数据量小和最高频率低的情况,提出了基于SDR Sdram(同步动态RAM) 作为主存储器的LED 显示系统的研究。在实验中,使用了现场可编程门阵列( FPGA) 来实现各模块的逻辑功能。最终实现了对L ED 显示屏的控制,并且一块主控制板最大限度的控制了256 ×128 个像素点,基于相同条件,比静态内存控制的面积大了一倍,验证了动态内存核[7 ]的实用性。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:511642
    • 提供者:郑宏超
  1. sram_control

    0下载:
  2. verilog编写fpga与片外SRAM通信模块
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:418879
    • 提供者:宇天
  1. DEMO1_KEY_LED

    0下载:
  2. KX_DVP3F型FPGA应用板/开发板(全套)包括:  CycloneII系列FPGA EP2C8Q208C8 40万们,含20M-270MHz锁相环2个。  RS232串行接口;VGA视频口  高速SRAM 512KB。可用于语音处理,NiosII运行等。  配置Flash EPCS2, 10万次烧写周期 。  isp单片机T89S8253:MCS51兼容单片机,12
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:360723
    • 提供者:ldg
  1. differentFPGA

    0下载:
  2. 不同特点的FPGA是从工艺的角度来理解的,主要可以分为基于SRAM的FPGA,基于FLASH的FPGA和基于反熔丝的FPGA
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:3733
    • 提供者:杨华
  1. SRAMtest

    0下载:
  2. FPGA的SRAM存储器的控制程序,包括时序测试
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:666826
    • 提供者:汪辉
  1. SRAMinterface

    0下载:
  2. FPGA控制的SRAM接口不分的设计
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1396075
    • 提供者:汪辉
  1. de2_SRAM

    0下载:
  2. 使用FPGA控制SRAM的源代码,Verilog语言编写
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:92786
    • 提供者:zw
  1. SignalTap-shiyong

    0下载:
  2. fpga读写sram(61LV25616),程序附详细注释,包含波形仿真文件及signaltap在线调试文件,并附有文档对程序及signaltap的使用进行了详细说明。 -fpga read and write sram (61LV25616), with detailed program notes, including documents and signaltap waveform simulation debug files online, along with documentatio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5211178
    • 提供者:郝强
  1. verilogsram

    1下载:
  2. FPGA开发板上的VerilogHDL编写的SRAM读写试验程序, 包括介绍文档, Verilog源码, 在Quartus II 8.1环境下测试通过-FPGA development board SRAM VerilogHDL prepared to read and write test procedures, including the descr iption document, Verilog source code, the Quartus II 8.1 environment te
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:455538
    • 提供者:Joseph
  1. USB_FPGA_FOR_SRAM-control

    0下载:
  2. 此程序完成PC上位机通过USB与板上SRAM进行的数据传输交换,有CY7C68013A的SALVE_FIFO的完整固件及FPGA的SRAM驱动程序,并已调通可用了。-This process is complete PC via USB and PC-board SRAM for data transfer exchange, complete with CY7C68013A of SALVE_FIFO of SRAM FPGA firmware and drivers, and has bee
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-01
    • 文件大小:13531812
    • 提供者:dengxining
  1. DDR_FLASH_VHDL_Verilog

    1下载:
  2. FPGA DDR 外部RAM 读写的verilog代码,以及FLASH的vhdl代码-DDR SRAM READ AND WRITE VERILOG CODE ,FLASH VHDL CODE ,FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:17729
    • 提供者:rickdecent
  1. Digital_video

    1下载:
  2. 配合DSP做的例子,前段视频采集和转换后, 通过切换SRAM中的数据到DPS后端处理和FPGA采集操作,具有 一般通用性,更重要的是测试代码丰富,加深理解-DSP to do with the example of the preceding video capture and conversion, the SRAM through the switch to DPS data processing and FPGA back-end collection operation, a g
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-16
    • 文件大小:8712
    • 提供者:陈家振
  1. my_sram

    0下载:
  2. 在sopc builder 中填加SDRAM(IS61LV25616AL),能够直接被系统识别。-Sopc builder filled in Canada in the SDRAM (IS61LV25616AL), the system can be directly identified.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:20713
    • 提供者:desd
  1. sram_interface

    0下载:
  2. 这是有关FPGA访问SRAM的硬件描述语言代码,需要的话可以参考参考-It is about access to SRAM in FPGA hardware descr iption language code, if necessary can refer to the reference
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-08
    • 文件大小:855
    • 提供者:龙小军
  1. EmbeddedLED

    0下载:
  2. 种基于FPGA和2片SRAM的嵌入式大屏幕LED显示的方法,其显示规模达到512×512点阵列-Kinds of SRAM-based FPGA, and two large-screen LED display of the embedded approach, its display size to 512 × 512-point array
  3. 所属分类:Project Design

    • 发布日期:2017-04-08
    • 文件大小:168872
    • 提供者:libobo
  1. MCU_SRAM_FPGA_with_encryption

    0下载:
  2. 用单片机实现SRAM工艺FPGA的加密应用-MCU SRAM FPGA with encryption technology application
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:190255
    • 提供者:冯健
  1. ramtest

    0下载:
  2. 用verilog语言往内部FPGA的sram中读写数据,即把1—4写入ram的1—4的地址里-Verilog language within the FPGA with the sram to read and write data, that is 1-4, 1-4 to write the address in ram
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:58301
    • 提供者:蓝冰
  1. 040402~~

    0下载:
  2. 虽然与SRAM相比,SDRAM需要额外的控制逻辑,有更复杂的时序要求,需要定时刷新,但是由于SDRAM具有单位空间存储容量大和价钱便宜的优点,因而被许多的嵌入式开发者所青睐。为此,针对这种情况,必须设计SDRAM控制器。为了降低系统成本,本课题采用FPGA技术,并使用VHDL语言研究了FPGA与SDRAM的存储器接口实现问题。-Abstract In order to expand the SDRAM’S storage capacity of the TS一101 processor,a me
  3. 所属分类:Project Design

    • 发布日期:2017-04-02
    • 文件大小:254932
    • 提供者:zhangying
  1. verilogsram

    0下载:
  2. 在FPGA中实现SRAM的测试程序代码,支持cyclone系列芯片-SRAM in the FPGA to implement the test program code to support cyclone series chips
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:310278
    • 提供者:duanhaiyang
« 1 2 3 4 56 7 »
搜珍网 www.dssz.com